clash-prelude: Clash: a functional hardware description language - Prelude library
Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog.
Features of Clash:
Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions.
Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench.
Higher-order functions, with type inference, result in designs that are fully parametric by default.
Synchronous sequential circuit design based on streams of values, called
Signal
s, lead to natural descriptions of feedback loops.Support for multiple clock domains, with type safe clock domain crossing.
This package provides:
Prelude library containing datatypes and functions for circuit design
To use the library:
Import Clash.Prelude
Alternatively, if you want to explicitly route clock and reset ports, for more straightforward multi-clock designs, you can import the Clash.Explicit.Prelude module. Note that you should not import Clash.Prelude and Clash.Explicit.Prelude at the same time as they have overlapping definitions.
A preliminary version of a tutorial can be found in Clash.Tutorial, for a general overview of the library you should however check out Clash.Prelude. Some circuit examples can be found in Clash.Examples.
[Skip to Readme]
Modules
[Index] [Quick Jump]
- Clash
- Annotations
- Class
- Clash.Clocks
- Clash.Examples
- Explicit
- Clash.HaskellPrelude
- Clash.Hidden
- Intel
- Clash.Magic
- Clash.NamedTypes
- Num
- Clash.Prelude
- Promoted
- Clash.Signal
- Sized
- Clash.Tutorial
- Clash.Verification
- Clash.XException
- Xilinx
Flags
Manual Flags
Name | Description | Default |
---|---|---|
large-tuples | Generate instances for classes such as | Disabled |
super-strict | Use | Disabled |
strict-mapsignal | Use | Disabled |
multiple-hidden | Allow multiple hidden clocks, resets, and enables to be used. This is an experimental feature, possibly triggering confusing error messages. By default, it is enabled on development versions of Clash and disabled on releases. | Disabled |
doctests | You can disable testing with doctests using `-f-doctests`. | Enabled |
unittests | You can disable testing with unittests using `-f-unittests`. | Enabled |
benchmarks | You can disable testing with benchmarks using `-f-benchmarks`. | Enabled |
workaround-ghc-mmap-crash | Only use this flag when hit by GHC bug #19421. See clash-compiler PR #2444. | Disabled |
Use -f <flag> to enable a flag, or -f -<flag> to disable that flag. More info
Downloads
- clash-prelude-1.8.2.tar.gz [browse] (Cabal source package)
- Package description (revised from the package)
Note: This package has metadata revisions in the cabal description newer than included in the tarball. To unpack the package including the revisions, use 'cabal get'.
Maintainer's Corner
For package maintainers and hackage trustees
Candidates
Versions [RSS] | 0.2, 0.3, 0.4, 0.5, 0.5.0.1, 0.5.1, 0.6, 0.6.0.1, 0.7, 0.7.1, 0.7.2, 0.7.3, 0.7.4, 0.7.5, 0.8, 0.8.1, 0.9, 0.9.1, 0.9.2, 0.9.3, 0.10, 0.10.1, 0.10.2, 0.10.3, 0.10.4, 0.10.5, 0.10.6, 0.10.7, 0.10.8, 0.10.9, 0.10.10, 0.10.11, 0.10.13, 0.10.14, 0.11, 0.11.1, 0.11.2, 0.99, 0.99.1, 0.99.2, 0.99.3, 1.0.0, 1.0.1, 1.2.0, 1.2.1, 1.2.2, 1.2.3, 1.2.4, 1.2.5, 1.4.0, 1.4.1, 1.4.2, 1.4.3, 1.4.4, 1.4.5, 1.4.6, 1.4.7, 1.6.0, 1.6.1, 1.6.2, 1.6.3, 1.6.4, 1.6.5, 1.6.6, 1.8.0, 1.8.1, 1.8.2 (info) |
---|---|
Change log | CHANGELOG.md |
Dependencies | array (>=0.5.1.0 && <0.6), arrows (>=0.4 && <0.5), base (>=4.11 && <5), binary (>=0.8.5 && <0.11), bytestring (>=0.10.8 && <0.13), constraints (>=0.9 && <1.0), containers (>=0.4.0 && <0.8), data-binary-ieee754 (>=0.4.4 && <0.6), data-default-class (>=0.1.2 && <0.3), deepseq (>=1.4.1.0 && <1.6), extra (>=1.6.17 && <1.9), ghc-bignum (>=1.0 && <1.4), ghc-prim (>=0.5.1.0 && <0.13), ghc-typelits-extra (>=0.4 && <0.5), ghc-typelits-knownnat (>=0.7.2 && <0.8), ghc-typelits-natnormalise (>=0.7.2 && <0.8), half (>=0.2.2.3 && <1.0), hashable (>=1.2.1.0 && <1.6), infinite-list (>=0.1 && <0.2), integer-gmp (>=1.0.1.0 && <2.0), lens (>=4.10 && <5.4), mtl (>=2.0 && <3.0), QuickCheck (>=2.7 && <2.16), recursion-schemes (>=5.1 && <5.3), reflection (>=2 && <2.2), singletons (>=2.0 && <3.1), string-interpolate (>=0.3 && <0.4), tagged (>=0.8 && <0.9), template-haskell (>=2.12.0.0 && <2.23), text (>=0.11.3.1 && <2.2), th-abstraction (>=0.2.10 && <0.8.0), th-lift (>=0.7.0 && <0.9), th-orphans (>=0.13.1 && <1.0), time (>=1.8 && <1.15), transformers (>=0.5.2.0 && <0.7), type-errors (>=0.2.0.0 && <0.3), uniplate (>=1.6.12 && <1.7), vector (>=0.11 && <1.0) [details] |
License | BSD-2-Clause |
Copyright | Copyright © 2013-2016, University of Twente, 2016-2017, Myrtle Software Ltd, 2017-2019, QBayLogic B.V., Google Inc., 2021-2023, QBayLogic B.V. |
Author | The Clash Authors |
Maintainer | QBayLogic B.V. <[email protected]> |
Revised | Revision 3 made by QBayLogic at 2025-05-06T11:28:14Z |
Category | Hardware |
Home page | https://clash-lang.org/ |
Bug tracker | https://github.com/clash-lang/clash-compiler/issues |
Source repo | head: git clone https://github.com/clash-lang/clash-compiler.git(clash-prelude) |
Uploaded | by QBayLogic at 2025-01-04T02:08:43Z |
Distributions | Arch:1.8.1, LTSHaskell:1.8.2, NixOS:1.8.1, Stackage:1.8.2 |
Reverse Dependencies | 18 direct, 2 indirect [details] |
Downloads | 50173 total (86 in the last 30 days) |
Rating | 2.5 (votes: 3) [estimated by Bayesian average] |
Your Rating | |
Status | Docs uploaded by user Build status unknown [no reports yet] |