Advanced VLSI Design: Homework No.2
Advanced VLSI Design: Homework No.2
Homework No.2
a. Write RTL description in Verilog or VHDL. First of all we must findout a suitable schematic for the 8-bit Adder/Subtractor. This one seems good:
entity addsub is port(A,B : in std_logic_vector(7 downto 0); OPER: in std_logic; RES : out std_logic_vector(7 downto 0)); end addsub; architecture archi of addsub is begin RES <= A + B when OPER='0' else A - B; end archi;
a=0000011b=00000001opr=0 (add)
It works
b.Synthesize it using Leonardo Spectrum
In this section after producing the Vhdl file we opened Leonardo and loading the ams600 library file we choose that in technology tab.
Successful:
Layout is ready: