All Lect Merged
All Lect Merged
2 Crystallography and crystal structure of Silicon, Crystal Crystal Growth and wafer T1
growth techniques preparation
5-6 Chemical and physical vapour deposition,epitaxial Thin film deposition and epitaxy T2
growth, manufacturing methods and systems,
deposition of dielectrics and metals commonly used in
VLSI,Epitaxial process of Silicon wafers,Molecular
Beam epitaxy, Thin film characterization techniques.
7 Types of oxidation, Thermal Oxidation, D-G Oxidation T3
model, dopant distribution in oxide layer,
growth of oxide layer. Wet and Dry oxidation,
growth kinetics and models, defects,
measurement methods and characterization.
1940s - setting the stage - the initial inventions that made integrated circuits
possible.
In 1951 Shockley developed the junction transistor, a more practical form of the
transistor.
By 1954 the transistor was an essential component of the telephone system and the
transistor first appeared in hearing aids followed by radios.
2
In 1956 the importance of the invention of the transistor by Bardeen, Brattain and Shockley was
recognized by the Nobel Prize in physics.
3
a simple oscillator IC
4
1959 - Planar technology invented
Lecture #1
6
1963 - CMOS invented
Frank Wanlass at Fairchild Semiconductor originated and published the
idea of complementary-MOS (CMOS).
On June 18, 1963 Wanlass applied for a patent. On December 5th 1967
Wanlass was issued U.S. Patent # 3,356,858 for "Low Stand-By Power
Complementary Field Effect Circuitry".
CMOS forms the basis of the vast majority of all high density ICs
manufactured today.
Lecture #1
7
The 8008 was the 8 bit successor to the 4004 and was used in
the Mark-8 computer, one of the first home computers.
Lecture #1
16
17
18
21
27
28
29
30
33
“Moore’s Law”
1947
43
Clean Rooms
Wafer processing is carried out in special labs called clean rooms
which are often called ‘Fabs’.
Motivation for clean rooms is dust particles which can settle on
wafers and cause defects in devices
During wafer processing, or from ambient
Humans emit thousands of particles every minute
The total number of dust particles per unit volume needs to be
controlled along with temperature and humidity
High Efficiency Particulate filters in the ceiling
Perforated floors to allow continuous air flow
44
Clean Room Basics
Clean Room
A manmade mini-environment with low particle counts
Started in medical application for post-surgery infection
prevention
Particles kills yield
IC fabrication must in a cleanroom, adopted by
semiconductor industry in 1950
• Smaller device needs higher grade clean room
• Less particle, more expensive to build
What is a Cleanroom?
A clean area, that is designed to reduce the
contamination of processes and materials.
This is accomplished by removing or reducing
contamination sources.
That means clean air, stable temperature, stable
humidity, clean water, gases and chemicals, lighting,
processing- equipment, inspection and test equipment,
room infrastructure, etc.
Particles
• People ~75% • Environment
• Ventilation ~15% • Equipment
• Chemicals
• Room Structure ~5% • Process Primary
• Equipment ~5% Sources
• Exposed Skin/Hair / • Gloves
People • Tools
• Non-cleanroom Paper • Work Surfaces
• Floor
• Garments
• Vinyl, PVC, Rubber,
Ink
Particles
Example
Examples
• Particles as small as 1 micro-meter (micron) =>
0,0000001m
• The unaided eye can see particles as small as 50 microns
on a good background
• The thickness of a human hair is 100 microns
• Time to fall 1 meter in still air for a 10 micron particle is
33 seconds, for a 1 micron particle is 48 minutes
• Humans generate >1x105 particles per minute when
motionless (fully gowned)
• Humans can generate >1x106 particles when walking in
the Cleanroom
49
Cleanroom Standards
50
The manufacturing environment is critical for product
quality. Factors to be considered include:
• Light
• Temperature
• Relative humidity
• Air movement
• Particulate contamination
51
Parameters influencing the Cleanroom class
: • Number of particles in the air or on surfaces
• Number of air-changes for each room
• Air velocity and airflow pattern
• Filters (type, position)
• Air pressure differentials between rooms
• Temperature, relative humidity
• Facility Layout and Work-Flow Part of the Solution:
Air-Filtration-Systems, Air-Conditioning-Systems
52
Air Handling Concepts & Devices
53
54
55
56
57
Clean room attire
b b
b
a
P a P a I =C
Triclinic Monoclinic
a g a = g = 90o
abc abc
c
b
a
P C F I
Orthorhombic
a = = g = 90o a b c
c
c
a2
a2
a1 a1 P or C
P I R
Tetragonal Hexagonal Rhombohedral
a = = g = 90o a1 = a2 c a = = 90o g = 0o a = = g 90o
a1 = a2 c a1 = a2 = a3
a3 a.k.a. Trigonal
a2
a1
P F I
Isometric
a = = g = 90o a1 = a2 = a3
MELZG 611
IC Fabrication Technology
Lecture No.3
Date . 07/08/2021
Notation Summary
•(h,k,l) represents a point
•Negative numbers/directions are denoted with a bar on
top of the number
•[hkl] represents a direction
•<hkl> represents a family of directions
•(hkl) represents a plane
•{hkl} represents a family of planes
Defects
Defects can keep moving…
Interstitial Frenkel
Vacancy
MELZG 611
IC Fabrication Technology
Lecture No.4
Date . 14/08/2021
Crystal Growth
Basic process of IC fabrication
● Crystal growth
● Wafer preparation
● A crystal is a solid material whose constituent
atoms, molecules, or ions are arranged in an orderly
repeating pattern extending in all three spatial
dimensions.
● Study of crystals including process of
crystallization, internal structure, external
morphology, properties and classification of
crystals is known as Crystallography”.
● The study of the formation of crystals is covered
under the subhead “Crystal Growth”. The process
of crystal formation is known as crystallization.
Why do crystals form?
Crystals are orderly arrangements of pure
substances. For example, diamonds are pure
carbon, and quartz is pure silicon dioxide.
Crystals form as their component atoms move
closer and closer together. This can occur as
pressure and temperature decrease, or as a
solvent evaporates
Silicon wafer
● Apparatus: Puller
Control system
■ Maintains process parameters:
temperature, crystal diameter, pull rate,
rotation speed etc.
■ Prefers a closed loop system
● Solidification: by reduction in temperature
● Increased pull rate: material cannot solidify as
heat will not be conducted away.
● Material near melt has higher density of point
defects.
● Hence cool quickly to prevent agglomeration
of defects.
● Point defects agglomerate and form most
commonly dislocation loops.
During the process…
https://www.youtube.com/watch?v=Xb
Bc4ByimY8
Shaping operation:
● Remove seed and tang end.
● Remove ingot portions that fail in
resistivity and perfection evaluation.
● Surface grinding: defines diameter of the
wafer.
● Flats are ground along the length of the
ingot: primary flat & secondary flat.
(according to SEMI standard)
● Slicing.
● Determines surface orientation, thickness, taper and bow.
● Surface orientation analyzed by X-ray analysis.
● Two side lapping using Al2O3 and glycerin ensures
flatness of surface.
Shaping operation leaves the wafer surface and edges
contaminated and damaged…
● Damage depends on the specifics of machining operations.
● Such regions are removed by chemical etching.
● Mixtures of hydrofluoric, nitric and acetic acids:
● Nitric acid is the oxidant.
● HF dissolves the oxidized products.
● Acetic acid dilutes the system for better control on etching.
● Over the range of 30 to 500C, etching kinetics will be diffusion
controlled rather than reaction rate limited. Hence HNO3 rich
solutions are preferred to remove work damages.
● Potassium or sodium hydroxide (alkaline etching).
● Rate depends on surface orientation
● Reaction rate limited. Hence no wafer rotation.
● Excellent uniformity
Polishing
• Final step.
• Gives a smooth specular surface on which device features can be
photoengraved.
• Can be single wafer or batch wafer processing depending on equipment.
• Polishing pads made of artificial fabric and dripped with polishing slurry
and water.
• Wafers mounted on a fixture are pressed against the pad under high
pressure and rotated.
– Slurry: colloidal suspension of fine SiO2 particle in an aqueous solution
of sodium hydroxide.
– Heat generated due to friction helps sodium hydroxide to oxidize the Si
with the OH- radical. (chemical)
– Silica particle in the slurry abrades the oxidized silicon (mechanical).
Challenges associated with growth of GaAs:
● Vapor pressure of Ga is 0.001atm while that of As is ~ 10atm at
melting point (1238C).
● Arsenic evaporates and maintaining stoichiometry will be
difficult.
● The thermal conductivity of GaAs (0.07W/cm-K) is 1/3rd of
that of silicon (0.21W/cm-K)
● Heat dissipation is more difficult
● Critical resolved shear stress for creating dislocation is very
small (1/4th of silicon) at mp
● Very easy to create dislocations in GaAs
38
Wafer Fabrication
MELZG 611
IC Fabrication Technology
Lecture No.5
Date . 14/08/2021
Example
Determining the Number of Lattice Points
in Cubic Crystal Systems
Question
Determine the number of lattice points per cell in the cubic
crystal systems. If there is only one atom located at each
lattice point, calculate the number of atoms per unit cell.
Example 3.1 SOLUTION
In the SC unit cell: lattice point / unit cell = (8 corners)1/8 = 1
In BCC unit cells: lattice point / unit cell
= (8 corners)1/8 + (1 center)(1) = 2
In FCC unit cells: lattice point / unit cell
= (8 corners)1/8 + (6 faces)(1/2) = 4
The number of atoms per unit cell would be 1, 2, and 4, for the
simple cubic, body-centered cubic, and face-centered cubic,
unit cells, respectively.
6
ETCHING
• The oxide layer is 0.5 m. Equal structure widths and spacings, Sf, are
desired. The etch anisotropy is 0.8.
• If the distance between the mask edges, x, is 0.35 m, what structure
spacings and widths are obtained?
Example
• To obtain equal widths and spacings, Sf, the mask width, Sm, must be
larger to take into account the anisotropic etching
• Since
Sm S f b
where b is the bias on each side, and
• Since
b
Af 1
d
• Thus
S m S f 2 x f 1 A f
Example
• This result makes sense
– For isotropic etching, Af=0 and Sm is a maximum
– For perfectly anisotropic etching, Af=1 and Sm=Sf and is a minimum
• The distance between the mask edges (x) is the minimum feature size
that can be resolved
• But
x 2S F S m
x S f 2 x f 1 Af
S f x 2 x f 1 Af
Example
• Substituting numbers for the problem
Selectivity S
= Etch rate of target layer/ etch rate of
other layer ( mask, substrate etc)
• The profile of the etch process defines what
features may be created by a given process.
• Isotropic etching proceeds in all directions. This is
sometimes undesirable if tall, narrow features,
such as gratings or combs are desired.
• Anisotropic etch processes remove more material
in one direction, generally in the vertical direction,
than in the other. So-called vertical sidewalls
characterize a commonly defined anisotropic etch
process.
• Any etch process is characterized by
certain properties
– Uniformity
• The evenness of the removal over the entire
surface of the wafer
– Profile
• Isotropic – Etching proceeds at equal rates in
both horizontal and vertical direction
• Anisotropic – Etching proceeds faster in one
plane than in another
Etch Profiles
•The isotropic etch profile on the left shows that etching of the sidewalls has
occurred at a similar rate to the vertical etch. The round undercut shown is
commonly found in wet etching. This can actually be used to advantage in
creating some features, such as aluminum contacts.
•The anisotropic etch profile on the right shows that the sidewalls of the
feature are nearly vertical or perpendicular to the plane.
Etching – Wet and Dry
• Wet Etch is performed by immersing entire wafers in
liquid etchant solutions.
– Reaction is between surface layer exposed and etchant
– Purely a chemical process
• Dry etching is performed by placing the wafer in a
chamber and pumping in chemical vapors or using
plasma
• Dry etching can be chemical, physical, or both in its
etch.
Wet etching is the oldest method of material removal still in use. The
entire wafer, or often a boatload of wafers, is immersed in a liquid
etchant solution, rinsed to remove traces of the etch solution, and
dried to remove water.
Wet Etch
• Oxidation-reduction equations often define wet etch processes
– Silicon etch with HNO3 & HF
• Si + HNO3 & HF → H2SiF6 + HNO2 + 2H2O
• Most Wet Etch Processes are Isotropic
– Etch proceeds in both vertical and horizontal direction
– Etch mixtures can change the etch rate or profile depending
on silicon crystal orientation
Wet etch processes are typically very selective in nature, which is advantageous in
processing and are simpler to carry out than dry etching.
Oxidation-reduction chemical equations define many of the reactions that take place.
The etchant mix can be altered to change the rate of the reaction, and hence the
etch rate, but in most cases, wet etch processes are isotropic, removing as much
material horizontally as they do vertically.
Certain mixes of etchants can provide a degree of orientation of the etch direction
based on the crystal orientation of the silicon that they react with.
Wet Etch Process Steps
Rinse
In MEMS devices, such as the cantilever shown above, a sacrificial layer is
deposited above the substrate.
After patterned by photolithography and etching as shown in (b), a metal layer is
grown over the layer. Wet etching can be used to remove the sacrificial layer.
Now, the metal layer is affixed on one side but can flex on one side.
http://www.aero.org/publications/helvajian/helvajian-2.html
Dry Etch Methods
• Dry Etching can be a physical or chemical
process (or both)
– Ion Beam Etch - a physical etch process
– Gaseous chemical etch
– Plasma enhanced etch
– Reactive Ion Etch
– Deep Reactive Ion Etch
Physical Dry Etch
• Sputtering (Ion Milling or Ion Beam Etch)
– Reduced pressure environment (<50 mTorr)
• Increases mean free path between molecules
– Fewer collisions between molecules
– Inert gas injected at low pressure is used as
“milling” tool
– RF Plasma in chamber
• Energy transfer to gas molecules creates a plasma
of equal numbers of ions and molecules
• Positive ions bombard negatively charged target
(wafer), removing molecules from the surface
Plasma Etching
• Plasma etching has (for the most part) replaced
wet etching
• There are two reasons:
– Very reactive ion species are created in the plasma
that give rise to very active etching
– Plasma etching can be very anisotropic (because the
electric field directs the ions)
• An early application of plasma etching (1970s)
was to etch Si3N4 (it etches very slowly in HF
and HF is not very selective between the nitride
Plasma Etching
• Plasma systems can be designed so that
either reactive chemical components
dominate or ionic components dominate
• Often, systems that mix the two are used
– The etch rate of the mixed system may be much
faster than the sum of the individual etch rates
• A basic plasma system is shown in the next
slide
Plasma Etching
Plasma Etching
• Features of this system
– Low gas pressure (1mtorr – 1 torr)
– High electric field ionizes some of the gas (produces
positive ions and free electrons)
– Energy is supplied by 13.56 MHz RF generator
– A bias develops between the plasma and the
electrodes because the electrons are much more
mobile than the ions (the plasma is biased positive
with respect to the electrodes)
Plasma Etching
Plasma Etching
• If the area of the electrodes is the same
(symmetric system) we get the solid curve
of 10-8
• The sheaths are the regions near each
electrode where the voltage drops occur
(the dark regions of the plasma)
• The sheaths form to slow down the
electron loss so that it equals the ion loss
per RF cycle
• In this case, the average RF current is zero
Plasma Etching
• The heavy ions respond to the average voltage
• The light electrons respond to the instantaneous
voltage
• The electrons cross the sheath only during a
short period in the cycle when the sheath
thickness is minimum
• During most of the cycle, most of the electrons
are turned back at the sheath edge
• The sheaths are thus deficient in electrons
• They are thus dark because of a lack of light-
emitting electron-ion collisions
Plasma Etching
• For etching photoresist, we use O2
• For other materials we use species containing halides such as Cl2, CF4, and
HBr
• Sometimes H2, O2, and Ar may be added
• The high-energy electrons cause a variety of reactions
• The plasma contains
– free electrons
– ionized molecules
– neutral molecules
– ionized fragments
– Free radicals
Plasma Etching
Plasma Etching
• In CF4 plasmas, there are
– Free electrons
– CF4
– CF3
– CF3+
– F
• CF and F are free radicals and are very
reactive
• Typically, there will be 1015 /cc neutral
species and 108-1012 /cc ions and
Plasma Etching Mechanisms
• The main species involved in etching are
– Reactive neutral chemical species
– Ions
• The reactive neutral species (free radicals
in many cases) are primarily responsible
for the chemical component
• The ions are responsible for the physical
component
• The two can work independently or
synergistically
Plasma Etching Mechanisms
• When the reactive neutral species act
alone, we have chemical etching
• Ions acting by themselves give physical
etching
• When they work together, we have ion-
enhanced etching
Remote Plasma Etch From MATEC Module 47
Remote plasma (outside the reaction chamber) causes the gases to disassociate
into ions and free radicals.
The free radicals are highly reactive and pass into the chamber where they react
with the surface to be etched.
Although this increases the reaction rate, it does not improve the isotropic
properties of the process.
Plasma etch can be used for photoresist stripping in place of a wet etch process.
Direct plasma etch with oxygen may also be used but concern for possible
damage to the layer below from ion bombardment is required.
Ion Milling (cont’d)
• Plasma etch has low
selectivity
• Plasma etch tends to be
anisotropic
• High RF levels can
cause damage to the wafer
The ion milling process is purely a physical process. It suffers from a lack of
selectivity compared to other processes. It does provide an anisotropic etch
profile with minimal undercutting.
Chemical Etching
• Chemical etching is done by free radicals
• Free radicals are neutral molecules that have
incomplete bonding (unpaired electrons)
• For example
e CF4 CF3 F e
• Etching of SiO2
– Etchant: Buffered HF
Controlling Etching
• Provide an etch-stopping layer.
• Orientation dependent.
• Controlling Doping of substrate.
• Heavy doping Difficult to etch.
• Etch rate is high for:
• Thin films.
• Irradiated films.
• Films with some stress.
• Films with improper stoichiometry.
Metal film etching
• Aluminum and Gold.
• Etching Al is fairly simple.
• H3PO4 + HNO3
• Cannot be done if the substrate is GaAs. [HCl +
H2O]
• Gold etching:
• Aqua-regia can dissolve gold. But..
• Etchant: KI + I2 + H2O
• Solution is opaque due to iodine.
• Difficult to inspect.
• Alternative : “Lift-off”
WET ETCHING
• Lack of anisotropy
• Poor process control
• Excessive particle contamination.
• Highly selective.
• Less damage to substrate.
Example
• This result makes sense
– For isotropic etching, Af=0 and Sm is a maximum
– For perfectly anisotropic etching, Af=1 and Sm=Sf and is a minimum
• The distance between the mask edges (x) is the minimum feature size
that can be resolved
• But
x 2S F S m
x S f 2 x f 1 Af
S f x 2 x f 1 Af
Ion-Enhanced Etching
• The ions and the reactive neutral species
do not always act independently (the
observed etch rate is not the sum of the
two independent etch rates)
• The classic example is etching of Si with
XeF2 and Ar+ ions are introduced
Ion-Enhanced Etching
Ion-Enhanced Etching
• The shape of the etch profiles are
interesting
• The profiles are not the linear sum of the
profiles from the two processes
• The profile is much more like the physical
etch alone (c)
Ion-Enhanced Etching
• If the chemical component is increased, the vertical
etching is increased, but not the lateral etching
• The etch rate is also increased
• The mechanisms for these effects are poorly
understood
• Whatever the mechanism, the enhancement only occurs
where the ions hit the surface
• Since the ions strike normal to the surface, the
enhancement is in this direction
• This increases the directionality
Ion-Enhanced Etching
Ion-Enhanced Etching
• Possible models include
– Enhancement of the etch reaction
– Inhibitor removal
• The reaction takes place only where the ions strike the surface
• Since the ions strike normal to the surface, removal is thus only
at the bottom of the well
• It is assumed that etching by radicals (chemical etching) is
negligible
• Note that even under these assumptions, the side walls may not
be perfectly vertical
Ion-Enhanced Etching
• Note that an inhibitor can be removed on
the bottom, but not on the sidewalls
• If inhibitors are deliberately deposited, we
can make very anisotropic etches
• If the inhibitor formation rate is large
compared to the etch rate, one can get
non-vertical walls (next slide)
Ion-Enhanced Etching
Types of Plasma Systems
• Several different types of plasma systems
and modes of operation have been
developed
– Barrel etchers
– Parallel plate systems (plasma mode)
– Parallel plate systems (reactive ion mode)
– High density plasma systems
– Sputter etching and ion milling
Barrel Etchers
• Barrel etchers were one of the earliest types of systems
• VT has a small one
• Here, the electrodes are curved and wrap around the quartz tube
• The system is evacuated and then back-filled with the etch gas
• The plasma is kept away from the wafers by a perforated metal
shield
• Reactant species (F) diffuse through the shield to the wafers
• Because the ions and plasma are kept away from the wafers, and the
wafers do not sit on either electrode, there is NO ion bombardment
and the etching is purely chemical
Barrel Etchers
Barrel Etchers
• Because the etches are purely chemical,
they can be very selective (but is almost
isotropic)
• The etching uniformity is not very good
• The systems are very simple and
throughput can be high
• They are used only for non-critical steps
due to the non-uniformity
• They are great for photoresist stripping
Parallel Plate Systems
• Parallel plate systems are commonly used
for etching thin films
Parallel Plate Systems
• This system is very similar to a PECVD
system except that we use etch gases instead
of deposition gases
• These systems are much more uniform across
the wafer than the barrel etcher
• The wafers are bombarded with ions due to
the voltage drop) the physical component of
the etch is found to be rather small and one
gets primarily chemical etching
Parallel Plate Systems
• By increasing the energy of the ions
(increasing the voltage) the physical
component can be increased
• This can be done by decreasing the size of
the electrode on which the wafers sit and
changing which electrode is grounded
• In this configuration, we get the reactive
ion etching (RIE) mode of operation
• Here, we get both chemical and physical
etching
• By lowering the gas pressure, the system
can become even more directional
High-Density Plasma Etching
• This system is becoming more popular
• These systems separate the plasma density and the
ion energy by using a second excitation source to
control the bias voltage of the wafer electrode
• A different type of source for the plasma is used
instead of the usual capacitively coupled RF
source
• It is non-capacitively coupled and generates a very
high plasma density without generating a large
sheath bias
High-Density Plasma Etching
High-Density Plasma Etching
• These systems still generate high ion
fluxes and etch rates even though they
operate at much lower pressures (1—10
mtorr) because of the higher plasma
density
• Etching in these systems is like RIE
etching with a very large physical
component combined with a chemical
component involving reactive neutrals
• They thus give reasonable selectivity
Summary
Summary
Class 7
Thin film deposition
Ganesh Rajan, Ph.D.
[email protected]
Linked in: Ganesh Rajan
Member of technical staff, technology development for MRAM
Globalfoundries
Type of semiconductor foundries
▪ Pure play
– Foundry focuses mainly on production of ICs.
▪ Pure play
– Foundry focuses mainly on production of ICs.
https://www.iue.tuwien.ac.at/phd/rovitto
/node10.html
Simple subtractive patterning
Epitaxial growth
APCVD
LPCVD
Example of CVD used in fabrication
▪ Main sources:
▪ Silicon tetrachloride
▪ Dichlorosilane
▪ Trichlorosilane
▪ Silane
▪ Disadvantage:
– Expensive (UHV), very slow deposition
▪ Lattice matched epitaxy:
homoepitaxy.
▪ Two cases of heteroepitaxy:
– Lattice matched.
– Strained-layer.
Defects in epitaxial layers
▪ Evaporation:
– Source heated in an evacuated chamber.
PVD - E-beam evaporation
▪ Target material is bombarded
with an electron beam given off
by a charged tungsten filament
under high vacuum.
▪ The electron beam causes
atoms from the target to
transform into the gaseous
phase.
▪ They precipitate into solid form,
coating everything in the
vacuum chamber (within line of
sight) with a thin layer of the
anode material.
PVD - E-beam evaporation
▪ V=deposition rate
▪ R0= Evaporation rate
▪ Θi= angle between evaporation source normal and substrate
surface normal.
▪ Θk= angle between substrate surface normal and line connecting
evaporation source and a point on the substrate.
▪ N=density of the deposited film.
PVD - Ion beam sputtering
▪ Ion current and energy adjusted.
▪ Other sputtering techniques:
▪ Magnetron sputtering
▪ Reactive sputtering
https://www.youtube.com/embed/L6ZIkmIVm6c?rel=0
PVD – DC magnetron sputtering
https://www.youtube.com/embed/L6ZIkmIVm6c?rel=0
PVD – RF sputtering
Metallization - CVD
▪ Conformal coating.
▪ High throughput.
▪ LPCVD: conformal step coverage over wide range with lower
electrical resistivity.
▪ Application: refractory metal deposition.
CVD Tungsten
▪ Diffusion barrier.
▪ Can be deposited by sputtering and CVD.
▪ CVD provides better step coverage
▪ Source : TiCl4
▪ 6TiCl4 + 8NH3 6TiN + 24HCl + N2
▪ 2TiCl4 + N2 + 4H2 2TiN + 8HCl
▪ 2TiCl4 + 2NH3 + H2 2TiN + 8HCl
TiN-W usage
Aluminum Metallization
▪ Pure play
– Foundry focuses mainly on production of ICs.
https://www.iue.tuwien.ac.at/phd/rovitto
/node10.html
Simple subtractive patterning
SEM image of a transistor to metal 2 of
some shorted bits
Multiple layers of devices and metallization…
(cross section TEM image)
Types of lithography
•Photolithography
•X-Ray lithography
•EUV lithography
https://www.youtube.com/watch?v=veqnjuXBPA8
Positive Lithography
Negative Lithography
MASK: Chrome coated quartz plate
Mask:
Example of
alignment mark
Important alignment features:
▪ Resolution:
– ability of PR to accurately transfer patterns on to film underneath.
– Is the minimum feature size that can be transferred with minimal tolerance
▪ Registration:
– measure of how accurately patterns on successive masks can be aligned
▪ Throughput
– Number of wafers processed per hour
– For industry, this number has to be sufficiently high while maintaining good resolution and
registration
Lithography optical Contact printing
E-beam
X-ray
Proximity printing
Projection printing
Optical lithography
▪ Proximity printing:
▪ Mask close to wafer.
▪ No contact (10 to 25 μm)
▪ Lesser resolution
▪ Higher mask life
▪ Minimum linewidth = critical dimension (CD) = 𝜆𝑔.
– 𝜆=wavelength
– g=gap between mask and wafer including resist thickness
▪ Projection printing:
▪ Mask kept at higher distance.
▪ Highly focused image.
▪ Higher mask life.
▪ Compromise on cost.
𝜆
▪ 𝑅𝑒𝑠𝑜𝑙𝑢𝑡𝑖𝑜𝑛 𝑙𝑚 = 𝑘1
𝑁𝐴
▪ NA = n sin 𝜃
Mask Aligner
Defects in photolithography
https://www.
ymsmagazin
e.com/wp-
content/uplo
ads/magazin
e_summer00
_coverstorys
u00.pdf
E-beam lithography
▪ Negative resist:
▪ Hardens when exposed to radiations.
▪ Cross-linking.
▪ Increase in molecular weight.
▪ Poor resolution due to swelling.
Issues regarding e-beam lithography
▪ Slow process.
▪ Proximity effect.
▪ Due to electron scattering.
▪ Inter proximity effect.
▪ Intra proximity effect.
▪ Slow process.
▪ Proximity effect.
▪ Due to electron scattering.
▪ Inter proximity effect.
▪ Intra proximity effect.
2.) X-Ray Lithography process latitude in resist SAL601 available from Shipley
Company.
SEM courtesy of Mr. Deguichi, NTT, LSI Research Laboritories, Japan.
www.vhti.org/images/ salpicture03.gif
www.fzk.de/imt/bereiche/ images/efe1_s3.gif
Q & A
▪ About lithography
▪ About various processes in foundry
▪ About foundry life
MELZG 611
IC Fabrication Technology
Lecture No.9
Date . 10/09/2021
OXIDATION
Content
• Properties of SiO2 • Factors affecting oxidation
• Oxidation Process • Doping
• Substrate Orientation
• Functions of SiO2 • Pressure
• Equipment for Si Oxidation • Chlorine addition
• Mechanism of Si Oxidation • Dopant Redistribution
• Polysilicon Oxidation
• Additional Oxidation Processes
Thermal SiO2 Properties
Oxidation Process
Conceptual Si Oxidation System
Thermal Oxidation
• Heat is added to the oxidation tube during the reaction
..between oxidants and silicon
- 900-1,200C temperature range
- Oxide growth rate increases as a result of heat
• Used to grow oxides between 60-10,000Å
Thermal Oxidation Process
Wafers are placed in wafer load station
• Dry nitrogen is introduced into chamber
- Nitrogen prevents oxidation from occurring
• Nitrogen gas flow shut off and oxygen added to chamber
- Occurs when furnace has reached maximum temperature
- Oxygen can be in a dry gas or in a water vapor state
• Nitrogen gas reintroduced into chamber
- Stops oxidation process
• Wafers are removed from furnace and inspected
• The nitrogen prevents oxidation from occurring while the furnace reaches the
required temperature.
•
• Once the specified temperature in the chamber is reached, the nitrogen gas flow is
shut off and oxygen (O2) is added to the chamber.
• The source of the oxygen can be gas or water vapor state depending upon the
process being used.
• After the oxidation is complete and the oxide layer is the correct thickness, nitrogen
is reintroduced into the chamber to prevent further oxidation from occurring.
• The wafers are then removed from the chamber and following their inspection, they are ready for further
processing.
•During dry oxidation, dry oxygen is introduced into the process tube where it reacts with silicon.
•Since dry oxidation is a slow process, it is only used in industry to grow thin oxides (<1000Å). In dry oxidation,
the amount water in the processing tube is kept at a minimum.
•If the water level exceeds 25-50ppm, the oxidation rate increases and a thick layer of poor quality oxide is
produced
Dry Thermal Oxidation Process
Thin Oxide Growth
• Thin oxides grown (<150Å) for features smaller than 1 ..million
- MOS transistors, MOS gates, and dielectric components
• Additional of chemical species to oxygen decreases ..oxide growth rate (only in special cases)
- Hydrochloric acid (HCI)
- Trichloroethylene (TCE)
- Trichloroethane (TCA)
• Decreasing pressure slows down oxide growth rate
Growing thin oxides is important in the manufacture of MOS transistors, MOS gates, and dielectric components of devices.
Many of these features are smaller than 1 micron, requiring oxides less than 150 Å thick. High quality thin oxides are difficult to grow
because under normal manufacturing conditions the oxidation growth rate is too fast to control.
Therefore, in order to grow a high quality thin oxide, the oxidation process must be adjusted to slow down the oxide growth rate.
The dry oxidation process allows control over the growth of thin oxides. Introducing hydrochloric acid (HCl), trichloroethylene
(TCE) or trichloroethane (TCA) with oxygen into the oxidation tube slows down the oxide growth rate.
Other adjustments, such as reducing the pressure level, or lowering the temperature while increasing the pressure, also slows down
the oxide growth rate and improves the quality of the oxide.
For example, 300 Å of oxide can be grown under high pressure (10atm) at low temperatures (750ºC) in thirty minutes].
Wet Thermal Oxidation
Wet Thermal Oxidation Characteristics
• Oxidant is water vapor
• Fast oxidation rate
- Oxide growth rate is 1000-1200Å / hour
• Preferred oxidation process for growth of thick oxides
Wet oxidation is therefore the preferred method to grow thick oxides. During wet oxidation, water vapor is introduced
into the heated oxidation tube.
Because water molecules are smaller in size than oxygen molecules, they diffuse faster in silicon dioxide and the
oxide growth rate increases.
As the silicon dioxide forms, it traps the hydrogen atoms within it.
These hydrogen atoms are released in subsequent processing steps and do not affect the quality of the oxide
Goal of Oxidation Process
The goal of oxidation is to grow a high quality oxide
layer on a silicon substrate
During oxidation a chemical reaction between the oxidants and the silicon atoms produces a layer
of oxide on the silicon surface of the wafer.
It is the first step in wafer fabrication and will be repeated multiple times throughout the fabrication
process.
In semiconductor manufacturing silicon dioxide (SiO2) is the most frequently grown oxide and
serves multiple purposes during wafer fabrication and device operation.
Functions of Oxide Layers (1)
Passivation
• Physically protects wafers from scratches and particle
..contamination
• Traps mobile ions in oxide layer
Passivation.
A thick protective oxide layer is grown at the beginning of wafer fabrication, and again at the
end.
The final oxide layer will continue to protect the transistor during packaging and device
operation.
During oxidation, mobile ions present on or near the silicon surface become trapped within the
growing oxide.
The oxide layer isolates these ions from the silicon and prevents them from disrupting the
performance of the device
Function of Oxide Layers (2)
Masking
• During Diffusion, Ion Implantation, and Etching
SiO2
Masking.
A layer of oxide grown on a wafer prior to the diffusion and ion implant process steps will act as a mask.
During diffusion, only those areas not covered by an oxide layer will be penetrated by the dopants.
These areas are typically the gate, source and drain regions of transistors. Since the diffusion rate of the
dopants is slower in silicon dioxide than silicon, the oxide layer prevents the dopants from reaching the
underlying silicon.
The thickness of the oxide layer is critical to its effectiveness as a mask and is determined by the diffusion
rate of the dopant [5]. Therefore, when dopants with a relatively fast diffusion rate are used, a thicker layer of
oxide is grown.
This graphic demonstrates how an oxide layer acts as a mask during diffusion. The dopants will penetrate the
region of the wafer not covered by the oxide layer.
Function of Oxide Layers (3)
Insulating Material
• Gate region
- Thin layer of oxide
- Allows an inductive charge to pass between gate
metal and silicon
Thermal oxidation can be carried out in either a horizontal or vertical tube furnace.
Both furnaces have the same heating systems and operate in the same manner, but differ in their geometrical orientation. Vertical
furnaces are more prevalent in industry today because they require less floor space and eliminate many of the problems associated
with horizontal furnaces, namely uneven temperature and gas flow. Regardless of the furnace, the oxidation process is the same.
Wet Thermal Oxidation Techniques
Bubbler
Bubblers. A glass flask, referred to as a bubbler contains deionized water and is attached to the oxidation tube. The water is
heated (90-99º C), and water vapor forms above the deionized water level. A carrier gas, nitrogen, is bubbled through the deionized
water. As it passes through the vapor it becomes saturated with water. The vapor travels into the oxidation tube, where with
additional heating it turns into steam and oxidation occurs. A consistent oxide growth rate is hard to maintain with the bubbler
method because of the difficulties involved in controlling both the amount of water vapor entering the oxidation tube and the
temperature of the water. The risk of contamination is also high.
Wet Thermal Oxidation Techniques
Flash System
Flash System.
A flash system is similar in design to a bubbler. A small amount of deionized water is dropped
onto a heated quartz surface where it instantly turns into steam. A carrier gas moves the steam
into the heated oxidation chamber. As with the bubbler, it is very difficult to achieve a constant rate
of oxide growth. Unlike a bubbler, however, the flask is never opened in a flash system so the risk
of contamination is low.
Wet Thermal Oxidation Techniques
Dryox System
Dryox System. In a dryox system, oxygen and hydrogen directly enter a heated
oxidation tube. In the heated oxidation tube, the two gases mix and form water as
steam. The dryox system is preferred oxidation method for advanced devices because
the oxide growth rate can be precisely controlled. Mass Flow Controllers regulate the
gas flow into the tube, insuring uniform oxide growth. Contamination of the oxide is
limited since these gases are clean. One major disadvantage of dryox system is the
explosive nature of hydrogen at high temperatures. Safety precautions must be taken
to minimize the risk of a hydrogen explosion.
Thickness of Si consumed during oxidation
As the first phase in oxide growth, the Linear Stage refers to the chemical reaction
resulting from the direct contact between the silicon and the oxidants at the surface of the
wafer. The reaction is limited by the number silicon atoms available to react with the
oxidants.
For approximately the first 500 Å, the oxide grows linearly with time. From that point on,
the reaction rate begins to slow down as a direct result of the silicon dioxide layer covering
the silicon atoms.
As the silicon dioxide layer grows, it eventually prevents the oxidants from coming in direct
contact with the silicon atoms and the Parabolic Stage of oxidation begins.
When a thin oxide layer is needed, as in the oxidation of MOS gates, the oxide is only
grown during the Linear Stage. The reaction of the oxygen at the silicon/silicon dioxide
interface controls the oxide growth in this stage.
Oxide Growth Mechanism (2)
Linear Parabolic Model
• Parabolic Stage
- Begins when 1,000Å of oxide has been grown on silicon
- Silicon atoms are no longer exposed directly to oxidants
- Oxidants diffuse through oxide to reach silicon
- Reaction limited by diffusion rate of oxidant
2
A comparison with Ion-
Implantation:
5
Dope Semiconductor: Diffusion
• Isotropic process
• Can’t independently control dopant
profile and dopant concentration
• Replaced by ion implantation after its
introduction in mid-1970s.
6
Dope Semiconductor: Diffusion
• First used to dope semiconductor
• Performed in high temperature furnace
• Using silicon dioxide mask
• Still used for dopant drive-in
• R&D on ultra shallow junction formation.
7
BASICS
• Ionized impurity atoms are
accelerated by an electrostatic field
and made to strike the surface of
wafer
• By measuring ion current and
adjusting electrostatic field, the
penetration depth and dose can be
controlled
Dope Semiconductor: Ion
Implantation
9
Dope Semiconductor: Ion
Implantation
• Independently control dopant profile (ion
energy) and dopant concentration (ion
current times implantation time)
• Anisotropic dopant profile
• Easy to achieve high concentration
dope of heavy dopant atom such as
phosphorus and arsenic.
10
Misalignment of the Gate
11
Ion Implantation, Phosphorus
12
Comparison of
Implantation and Diffusion
13
Comparison of
Implantation and Diffusion
Diffusion Ion Implantation
Cannot independently control of the dopant Can independently control of the dopant
concentration and junction depth concentration and junction depth
14
Ion Implantation Control
• Beam current and implantation time
control dopant concentration
• Ion energy controls junction depth
• Dopant profile is anisotropic
15
Other Applications
• Oxygen implantation for silicon-on-
insulator (SOI) device
• Pre-amorphous silicon implantation on
titanium film for better annealing
• Pre-amorphous germanium implantation
on silicon substrate for profile control
• …...
16
Process
• Energetic ion beam injected into semiconductor surface.
• Collision with target results in energy loss.
• Energy loss due to two mechanisms
– Electronic stopping – interaction with electron cloud around
target atom
• Collisions with electrons around atoms transfers momentum
and results in local electronic stopping
18
• Nuclear stopping
– Collision with nuclei of the lattice atoms
– Scattered significantly
– Causes crystal structure damage.
• Electronic stopping
– Collision with electrons of the lattice atoms
– Incident ion path is almost unchanged
– Energy transfer is very small
– Crystal structure damage is negligible
THEORY OF STOPPING MECHANISM:
Doping profile
• With perfectly amorphous target, profile will
follow a gaussian distribution
• Why amorphous?
– Crystalline targets have regular arrangement of
atoms which can aid in uninterrupted
movement of incident ions.
– Long distance movement without collision.
Projected range
• The total distance traveled by the ion
before it stops is called range R.
29
Implantation Processes: Channeling
• If the incident angle is right, ion can travel
long distance without collision with lattice
atoms
• It causes uncontrollable dopant profile
30
Ion channeling:
• When the ion direction is such that it orients
itself along major crystallographic direction,
ions travel a great distance before stopping
– Results in Deep junctions
– For each species such as B, P, etc, there
is a critical angle when this begins
32
Post-collision Channeling
33
Post-collision Channeling
Collisional Channeling Collisional
Dopant Concentration
34
Implantation Processes: Channeling
Light Ion
Damaged Region
Heavy Ion
37
Implantation Processes: Damage
• Ion collides with lattice atoms and knock
them out of lattice grid
• Implant area on substrate becomes
amorphous structure
48
Rapid Thermal Annealing (RTA)
Class 13
Metallization refers to the “wiring” of the various
components together to get a functioning circuit
Al-Si Alloy
The problem with pure Al is that it has a low melting point of 660 C.
When Al in contact with pure Si is heated, it forms an alloy with an eutectic point
of 577 C. This leads to dissolution of metal, especially in the formation of
shallow junctions, and can lead to shortening of the contacts
Contact issues in Al-Si contacts. (a) Excess alloying leads to melting of the Al(b) Silicide formation in
the metal layer, by using a Al-Si alloy (c) Barrier metal is usually deposited to prevent reaction between
Al and Si. Adapted from Microchip fabrication - Peter van Zant.
This shortening can be rectified
One is to use a barrier metal that does not alloy with Al or Si and
separates the two.
The barrier metal should not significantly reduce the
conduction through the channel.
Typically, high temperature metals like Ti and W or
compounds like TiN are used.
These are sputter deposited on the wafer.
This is because thin films with an electrical field gradient, due to the
higher.
This causes local heating and migration of material from thinner areas of
the wire, which can cause an open circuit.
To reduce electromigration, 0.5-4% Cu is usually added to Al. Cu
alloys with Al, to form CuAl2 precipitates (GP zones).
These precipitates pin the grain boundaries and reduce
electromigration.
Sometimes Si is also added to prevent Si dissolution from the wafer.
The typical alloy composition for a metal layer is Al-1.5%Si-4%Cu.
Pure Cu
With smaller metal layers, Al-Cu has a high resistance (high resistivity of Al
alloy) and hence to increase wire conductance pure Cu replaced Al as the
metallization layer.
Pure Cu contacts were introduced by IBM in 1990s and the standard was
quickly adopted across the industry.
Cu can be easily metallized. It can be deposited by thermal evaporation, but
more importantly, it can be electroplated on the wafer, which decreases the
cost, since expensive vacuum chamber equipment is not needed.
The biggest problem is that Cu diffuses into Si and SiO2. These form deep
level defects in Si which can ‘kill’ the device.
Hence, a barrier metal, usually TiW or TiN or TaN or metal silicides, is
needed. These can be deposited by sputtering or for deep trenches, can be
deposited by chemical vapor deposition.
As mentioned earlier, the use of Cu separates the wafer manufacturing into
FEOL and BEOL, with strict physical separation between the two to prevent
contamination.
Usually, equipment involved in FEOL and BEOL are placed in different
locations in the fab and special clothing is used for people working with BEOL
tools.
Outline
Introduction
PhysicalVapor Deposition
Chemical Vapor Deposition
Aluminum Metallization
Copper Metallization
Basics
Types:
Physical vapor deposition (PVD) – evaporation or
sputtering
Chemical vapor deposition (CVD) – involves a
chemical reaction
Uses
MOS gates
Contacts
Interconnect
Requirements
Uniformity and conformal coating
A Conformal coating is a protective chemical coating or polymer
film 25-75µm thick (50µm typical) that 'conforms' to the circuit board
topology. Its purpose is to protect electronic circuits from harsh
environments that may contain moisture and or chemical
contaminants.
High conductivity
High reliability
Outline
Introduction
Physical Vapor Deposition
Chemical Vapor Deposition
Aluminum Metallization
Copper Metallization
Basics
Also called “evaporation”
Goal: evaporate metal; condense on wafer
surface
Procedure:
Convert metal from solid to vapor phase
(melt + evaporate or direct sublimation)
Transport gaseous material to substrate
St Q
P(t ) P0 exp
V S
where: P(t) = chamber pressure at time t, P0 = initial
pressure, S = pumping speed, Q = rate of
outgassing, V = volume of chamber
Pumping apparatus has 2-stages:
1) roughing pump: atm -> 10-3 torr
2) diffusion pump: 10-3 -> 10-6 torr
Kinetic Gas Theory
Ideal gas law: PV = NavkT
where: k = Boltzmann constant, Nav = Avogadro’s #
(6.02 x 1023 molecules/mole), P = pressure, V =
volume, T = temperature
D0
D( R) 3/ 2
R 2 H
1
H
R
where: D0 = deposition
rate at center of wafer
wafer
Surface Profiometry
stylus
film
substrate
Alternative = sputtering
Advantages:
Better step coverage
Less radiation damage then e-beam
to mass deposited.
Cosine rule for deposition:
RT
D 2 cos cos
r
D=deposition rate
Rt= rate of mass lost from source
coverage
2WF6 + 3Si 2W + 3SiF4
HZ r Al
b 2 Dt S
A r Si
In the beginning, the metal would fill the space between the insulators and the current density
would be at a certain level (fig a)
If sufficient atoms move due to electromigration, then a small void will form.
Now the all current has to go through the metal and hence near the void region, the current
density will increase.
The electrical resistance of the metal line is also higher now, because the electrical
resistance is inversely proportional to the cross sectional area.
This results in larger heat release and hence higher local temperature.
At higher temperatures, the metal atom diffusivity is higher, which makes it easier to ‘push’
the atoms.
The increased current density and higher temperature accelerates the formation of voids
and finally results in the circuit failure
Mean Time to Failure
MTF due to electromigration is be related to the
current density (J) and activation energy by
1 Ea
MTF ~ 2 exp
J kT
Experimentally, Ea = 0.5 eV for aluminum
Electromigration resistance of Al can be increased
by alloying with Cu (e.g., A1 with 0.5% Cu),
encapsulating the conductor in a dielectric, or
incorporating oxygen during deposition.
Outline
Introduction
Physical Vapor Deposition
Chemical Vapor Deposition
Aluminum Metallization
Copper Metallization
Motivation
High conductivity wiring and low–dielectric-constant
insulators are required to lower RC time delay of
interconnect.
Copper has higher conductivity and electromigration
resistance than Al.
Cu can be deposited by PVD or CVD,
Downside:
Cu tends to corrode under standard processing
conditions
Not amenable to dry etching
Class 14
23/10/2021
• The Chemical Mechanical Polishing (CMP)
• smooth surface topography.
• Additionally, new materials such as Cu and W,
introduced in ULSI fabrication, also require extensive
use of the CMP process to form inlaid interconnect
structures.
• A fundamental understanding of the CMP process is
essential to improve process optimization and control,
and to increase the process yield and throughput in
the continuous integration and miniaturization in the
semiconductor industry.
Cross section of mulilayer metal structure planarized by CMP
What is Planarised
Methods of Planarisation
Thermal flow
CVD and reflow
RIE Etchback of sacrificial layer
Spin-on-glass (SOG)
Variation of above
CMP
Why is CMP imp?
Why do we need to planarize
High density circuits
• Consider the following example: Process steps for ‘tapping’ the ‘gate’
and ‘source/ drains’
Gate(P+)
(Source)P+ (Drain)P+
Need for CMP
• 1. Deposit oxide (insulator/dielectric)
• 2. Coat photo resist and .... bring the mask to focus
• Focus on which plane?
Top Plane
Bottom plane
Gate(P+)
(Source)P+ (Drain)P+
Need for CMP
• Solution: Deposit oxide and then PLANARIZE it
Gate(P+)
(Source)P+ (Drain)P+
Sample Image
Table
Quill (Wafer Carrier)
Schematic
Background
• Pad
• soft pad, hard pad, stacked pad
• hard pad with perforations, grooves
• Quill
• surface tension, vacuum
• Slurry
• abrasive, chemicals
Removal Mechanism
Copper
No Removal in this region
Pad
• Polishing of Layer to
Remove a Specific
Material, e.g. Metal,
dielectric
• Planarization of IC
Surface Topology
• What is CMP?
• How does CMP work?
• Why do we need CMP?
• How do we describe CMP?
• What are the problems associated with the CMP process?
• What are the environmental impacts of CMP?
• How can we alter the environmental impacts of CMP?
CMP Basics
• What is CMP?
– CMP is a physico-chemical process used to make wafer surfaces locally
and globally flat.
– Chemical action
• hydroxyl ions attack SiO2 in oxide CMP, causing surface
softening and chemical dissolution
• oxidants enhance metal dissolution and control passivation in
metal CMP
– Mechanical action
• polisher rotation and pressure
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
21
CMP Basics (cont’d)
Beaudoin, et al.
22
CMP Basics (cont’d)
• Why do we need CMP?
– for precise photolithography for advanced devices
– for advanced multilevel metallization processes
(Damascene)
• How is CMP described?
– key parameter: post-polish nonuniformity (NU)
• NU = ratio of the standard deviation of the post-polish
wafer thickness to the average post-polish wafer
thickness
• caused by variations in local removal rate
– important parameter is removal rate (RR)
• RR = average thickness change during polishing divided
byEngineering
NSF/SRC polishing time
Research Center for Environmentally Benign Semiconductor Manufacturing
Beaudoin, et al.
23
Metal Damascene Process
• Trenches/vias etched into ILD (interlayer dielectric)
• Metal deposition
• Metal CMP
Beaudoin, et al.
24
CMP Consumables
4.0 26
CMP Consumables (cont’d)
• W polishing
– pH 4 with H2O2 or KIO3
– pH 1.5 with ferric nitrate
– pH 6 with potassium ferricyanide, potassium acid
phosphate and ethylene diamine
• Al polishing
– peroxide or iodate-based slurries
• Cu polishing
– ammonia-based solutions, passivating agents
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
27
CMP Consumables (cont’d)
• Polish pads
– cast polyurethane or felt impregnated with polyurethane,
thickness~ 1-3 mm
– hardness affects planarization and nonuniformity
– surface treatment (conditioning) required to control polish
rate and slurry transport
• scraping pad surface with hard edge to remove debris,
open pores
– pads wear out quickly (100-1000 wafers/pad!)
– perforated, grooved pads coming into use (improved slurry
transport/uniformity)
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
28
CMP Consumables (cont’d)
• Carrier Films
– hold wafers onto polish head (carrier)
– porous polymeric materials
• held onto carrier by vacuum, thermal processing,
adhesive
– average roughness ~1-20 microns
– compressibility range 1-25% under 10 psi load (typical
of CMP conditions)
– thickness ~ 0.1-1 mm
– profound effect on polishing performance
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
29
CMP Requirements
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
30
Preston’s Equation
• Simplest CMP model
• Expresses polishing rate in terms of applied pressure and relative
velocity between polishing pad and wafer
– RR = Kp•P•S
• Kp = Preston coefficient (inversely proportional to elastic
modulus of material being polished)
• P = down pressure
• S = pad-wafer relative speed
– can predict general trends
– observed RR usually proportional to P and S
– cannot predict within wafer NU, feature effects, or variations due
to pattern density effects
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
31
CMP Process Variables
• Tool
– Pressure (down force)
– Platen and carrier speeds
– Platen temperature
• Slurry
– Flow rate (150-300 ml/min)
– Slurry age
– Temperature
• Pad conditioning
Beaudoin, et al.
32
CMP Processing Problems
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
33
Particle Contamination
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
34
Minimization of Particle Contamination:
Additives to Alumina Slurry
Beaudoin, et al.
35
Mechanical Contamination
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
36
https://www.youtube.com/watch?v=KV2k2Ydk
QXI
Chemical Contamination
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
38
CMP Control Issues:
Polishing Nonuniformities
• Dishing
– reduction in thickness of large metal features towards the center
of the features
– caused by differences in polishing rates of metal, liner, and
insulator
• Pattern erosion
– thinning of oxide and metal in a patterned area
– increases with pattern density
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
39
CMP Control Issues:
Removal Rate Drift
• Buffing
– after main polish , wafers “polished” using soft pads
– used following metal CMP
– oxide slurries, DI water, or NH4OH used
• changes pH of system to reduce adhesion of metal particles
• removes metal particles embedded in wafers
– can reduce cleaning loads
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
41
Post CMP Cleaning (cont’d)
• Brush cleaning
– brushes made from PVA with 90% porosity
– usually double sided scrubbing, roller or disk-type
– brushes probably make direct contact with wafer
– NH4OH (1-2%) added for particle removal (prevents redeposition), citric
acid (0.5%) added for metal removal, HF etches oxide to remove subsurface
defects
• Megasonic cleaning
– sound waves add energy to particles, thin boundary layers
– cleaning chemicals added (TMAH, SC1, etc.)
– “acoustic streaming” induces flow over particles
– importance uncertain
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
42
Brush Box
Roller
Water Inlets
Rotating Wafer
Beaudoin, et al.
43
Double Side Scrubbing (DSS)
System Configuration
Beaudoin, et al.
44
Post CMP Cleaning (cont’d)
• Spin-rinse drying
– following cleaning, wafers rotated at high speed
– water and/or cleaning solution (SC1) sprayed on wafer at
start
– hydrodynamics drain solutions from wafer
– probably no effect on cleaning, but ensures that particles
dislodged from wafer during preceding steps do not resettle
on wafer
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
45
CMP Environmental Problems
• Huge quantities of waste generated
• Polishing
– consumables (slurry, pads, water, chemicals)
– monitor wafers (used for testing purposes)
– killed wafers
– rinse water used during process
• Post-CMP cleaning
– consumables (chemicals, water, brushes, buff pads)
– post-CMP cleaning rinse water
– killed wafers
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
46
Waste Problems
• Slurry
– solids present in waste
– highly basic or acidic solutions cause pH changes in natural waters
• kills organisms
• enhances sediment dissolution, diminishes precipitation
– oxidizers toxic to wildlife
• Rinse waters
– large volumes tax wastewater treatment systems
– water purification wastes are significant (ion exchange wastes,
membranes, energy)
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
47
Quantities of Wastes
• Typical polisher processes 40 wafers/hr. with 65% overall equipment
efficiency
• Aqueous process wastes
– 190 gallons slurry/day/machine
– 180 gallons DI rinsewater/day/machine
• Solid wastes
– 3-4 monitor wafers/pad for break in (RR drift?)
– 1-2 pads/machine/day (not including buff pads)
• Cleaning wastes
– 190 gallons rinsewater/day/machine
– cleaning chemicals highly variable
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor ManufacturingBeaudoin, et al.
48
Packaging and Assembly
The interconnect is manufactured by depositing thin films of materials.
A new level of thin film is deposited on top of old films and the process is repeated many
times until the interconnect is complete
.
The goal of the CMP process is to planarize step heights caused by the deposition of thin
films over existing non planar features, so that further levels may be added onto a flat
surface
Damascene process, as well as its upgraded generation – dual-damascene,is the
critical technology in the transition from aluminum to copper interconnects in
semiconductor manufacturing.
There are two primary factors driving this transition: the lower resistivity and the
increased electromigration resistance that copper offers relative to Aluminum.
In the copper interconnect fabrication process, a simpler dielectric etching replaces
metal-etch as the critical step that defines the width spacing of the interconnect lines,
while the burden of planarization shifts to the metal deposition
and CMP steps.
Need for CMP
Where is CMP used?
To planarize oxide, tungsten or copper
Why planarize?
Oxide: need planar surface for photolithography
Copper: no other way to remove excess copper and form the wires
(shape)
W: relatively easy method
https://www.youtube.com/watch?v=u-Ld9o-
NdHI
Yield and Reliability
Class 15
23/10/2021
Introduction
• In integrated circuit, manufacturing involves
– design,
– fabrication,
– assembly, and
– test processes.
mk
Pk e m
k!
– Probability that chip contains no defects:
Y1 P0 e m
– Probability that chip contains one defect:
P1 me m
Failure distribution, reliability, and failure
rates
• Reliability: probability that the item will perform
a required function under stated conditions for
stated period of time.
– Required function: satisfactory and unsatisfactory
operation(failure)
– Stated condition: physical environment, mechanical,
electrical and thermal conditions expected
– Stated period of time: time during which satisfactory
operation is required. Vary depending on usage of
system.
• CDF (common distribution function):
– Suppose a system is operating at t=0
– Probability that the device will fail at or before time t is given by the
function F(t).
F (t ) 0; t 0
0 F (t ) F (t ' );0 t t '
F (t ) 1; t
R (t ) e 0t
F (t ) 1 e 0t
f (t ) 0e 0t
1
MTTF t0e 0t
dt
0
0
– Weibull Distribution Function: failure rate varies as a
1
power of device age t
1
R (t ) e
(t ) t
F (t ) 1 e
1
t
t50 e
1
exp ln
t 2 2 t50
Two failure populations
• Failure rate study is complicated due to the
existence of multiple failure mechanisms.
• Can use log normal distribution
– σ, µ: different for each mechanism.
• Two modes:
– Short median life.
• Small percentage of total population: sport population.
• Represents early failure mechanism.
– Long median life
• Represents steady state failure mechanism.
Accelerated testing:
• Under normal operating condition, the time
required to observe failure in a device is very
high.
• Accelerated testing: to study the failure chara by
accelerating the mechanisms that cause devices
to fail.
– Temperature
– Voltage
– Current
– Humidity
– Temperature cycling
Temperature acceleration
• Major cause of failure: chemical, physical
processes.
• Accelerated by temperature.
• If R is the reaction rate at which these
processes proceed,
R R0 exp( Ea kT )
t1 Ea 1 1
AccelerationFactor exp
t2 kT T1 T2
• Assumes failure reaction is linear in time.
Voltage and current acceleration
• Accelerates failure caused by dielectric breakdown,
interface charge accumulation, charge injection, corrosion.
• Variations applicable for voltage is limited as most ICs
doesn’t work properly if applied voltage is beyond the
specified range.
• Studies indicate that R of failure mechanism is
proportional to a power of applied voltage.
(T )
R(T ,V ) R0 (T )V
• Different stages in case of dielectric breakdown. Operation
at an increased voltage is more in the nature of burn-in.
• Current acceleration: for failure due to electromigration.
Same relationship for R as above.
Stress dependent activation energy.
a (T ) S Q
R sinh exp
kT kT
a (T ) kT (T )
Q Ea 0 a (T ) S B
Humidity-Temperature acceleration
• Possible failure mechanism: presence of water
vapor in the chip.
– Permeates plastic packaging material in two steps
• Transports contaminants from the surface of package
through plastic and leaches impurities from packaging
material itself.
• Diffusion of contaminated water vapor through
passivation layer of chip. Slow process. Determines
reaction rate of failure mechanism.
• Causes electrochemical corrosion.
Burn-in
• Manufactured device shows existence of early
failure.
• Photoresist or etching defects (open or shorts).
• Contamination on chip or in package
• Scratches.
• Weak chip or wire bonds
• Partially cracked chip or package
• Burn-in: operation of device for a period of time
during which most of the devices subjected to
early failure actually fails.
• Acceleration depends on mechanism contributing
to early failure.
Failure mechanisms
• Electrostatic discharge damage:
• Voltages higher than breakdown voltage of gate oxide may
be placed on the device during handling.
• Major source: triboelectricity.
• Burn-in cannot reduce this. Actually can increase early
failure rate.
• Input and output terminals are designed with protection
network to provide path for discharge of current and
protect gate oxide.
• Alpha particle induced soft errors:
• Emitted by U and Th occurring in packaging materials.
• Soft errors: random failure not related to physically
defective device.
• Eg: Loss of information stored.
Failure Rate
• Failure Rate
– A system such as a calculator that made of many
semiconductor devices is put in operation for the
purpose of calculation, would have a certain failure
rate ,
– which means it may fail after certain number of
operating hour. Thus, a calculator (system) has failure
rate with respect to operating time. The question is if
such failure is acceptable to end-user.
– Let’s take another example. A certain failure rate of
the system in a commercial aircraft is it acceptable to
airtravelling passengers?
• The failure rate () of a semiconductor device
implemented in the system is defined as
= No of Failure/No of transistor x period of operation
And
The term failure rate is referred to as instantaneous fail rate and not average
The fraction of devices that are good at time t and that fails by time t+Δt is given by
F(t+t) –F(t) = R(t) – R(t+ t)
The average failure rate during the time interval is given by
Average failure rate =1/ (R(t) – R(t+ t)/R(t)
In the limit as approaches zero, this becomes the instantaneous failure rate () (t), which is
given by
6
Example: Chemical Protective Clothing (CPC)
10
11
Diffusion and Temperature
12
Diffusion
13
Example: At 300ºC the diffusion coefficient and activation energy for Cu in
Si are
D(300ºC) = 7.8 x 10-11 m2/s
Qd = 41.5 kJ/mol
What is the diffusion coefficient at 350ºC?
14
Example (cont.)
15
Where erf is the error function which varies for different material
Non-steady State Diffusion
• An FCC iron-carbon alloy initially containing 0.20 wt% C is
carburized at an elevated temperature and in an
atmosphere that gives a surface carbon concentration
constant at 1.0 wt%. If after 49.5 h the concentration of
carbon is 0.35 wt% at a position 4.0 mm below the surface,
determine the temperature at which the treatment was
carried out.
C( x, t ) Co x
1 erf
Cs Co 2 Dt
18
19
Example: Chemical Protective Clothing (CPC)
20
A comparison with Ion-Implantation:
Dopants in silicon
• Dopants increase oxide growth rate
- During Linear Stage of oxidation N-type dopants increase growth rate
• Dopants cause differential oxidation
- Results in the formation of steps
- Affects etching process
•When high concentrations of dopants are present in silicon
wafers, they tend to increase the oxide growth rate
.
•During the Linear Stage of oxidation, the presence of N-type
dopants can increase the oxide growth rate. For example,
throughout the Linear Stage of oxidation, doped phosphorous
continually moves from within the silicon to the silicon surface
of the wafer.
•The weakened bonds allow oxygen and water to diffuse faster through the
silicon dioxide resulting in a faster oxide growth rate.
•Since oxide grows faster in doped regions of the wafer, the oxide will not
be of uniform thickness across the wafer.
•
•This results in differential oxidation and produces unwanted steps on the
wafer as oxidation occurs at unequal rates across the wafer.
•As the thicker oxide layers consume more silicon, steps are formed which
may affect the operation of the device. The variation in oxide thickness
across the wafer must also be taken into consideration during future
etching.
•
•The etch process must be designed to remove the thicker oxide layers,
without overetching the areas with thinner oxide layers
Growth Rate Dependence on Si Substrate Orientation
Wafer Orientation
• Oxide grows faster on <111> wafers
- more silicon atoms available to react
with oxidant
• Affects oxide growth rate during Linear
Stage
The orientation of the silicon crystals impacts the rate of oxide growth during the Linear Stage of oxidation, but not during the
Parabolic Stage.
As discussed previously, the growth rate during the Parabolic Stage is determined by the oxidant's rate of diffusion through the
silicon dioxide layer, and therefore it is not affected by the silicon crystal orientation.
The oxide grown during the linear stage of oxidation is greatly influenced by the crystal orientation of the wafer because the oxide
growth rate is based on the number of atoms available to react with the oxidants.
The wafer having the most atoms available for reaction with the oxidant has a faster oxide growth rate. The silicon crystals in
<111> wafers are parallel to the wafer surface, making them readily available to react with the oxidant. The angle the silicon crystals
make with the wafer surface in <100> wafers limits their availability for reactions.
Effect of High Pressure Oxidation
Atmospheric pressure
- Slow oxide growth rate
• An increase in pressure increase oxide growth rate
• Increasing pressure allows temperature to be ..decreased
- Oxide growth rate remains the same
- For every 10atm of pressure the temperature can be reduced 30°C
•Dry Thermal oxidation
- Pressure in oxidation tube increased
• Wet Thermal oxidation
- Steam pressure introduced into oxidation tube
Oxidation of silicon can occur under atmospheric or high-pressure conditions. Under atmospheric conditions,
the oxide grows at a slow rate. As the pressure increases, the oxide growth rate increases, during both the
Linear and Parabolic Stage of oxidation. By increasing the pressure during oxidation, the temperature can be
decreased and less strain placed on the wafer. By lowering the temperature and increasing the pressure, the
oxide growth rate remains the same. For every increase of 10 atm of pressure the temperature can be
reduced by 30ºC.
During wet oxidation, steam pressure is used. Steam pressure, generated in a high-pressure steam
generator that is external to the furnace, is then introduced into the oxidation tube. Pyrogenic steam can also
be used. Pyrogenic steam requires pressurizing water and oxygen to more than 25 atm and then pumping
the steam into the process tube.
Chlorine added with Oxidants
Chlorine species
- Anhydrous chloride (CI2)
- Anhydrous hydrogen chloride (HCI)
- Trichloroethylene – TCE
- Trichloroethane – TCA
• Oxide growth rate increases
• Oxide cleaner
• Device performance is improved
Chlorine can be added to the process as anhydrous chlorine (Cl2), or anhydrous hydrogen chlorine (HCl).
Anhydrous hydrogen chlorine is very corrosive, therefore substitute chemicals, such as trichloroethylene
(TCE) or trichloroetheane (TCA) are often used. Although both trichloroethylene (TCE) and trichloroethane
(TCA) produce high quality oxides, their use is limited because TCE is carcinogenic and TCA turns into a
poisonous gas at high temperatures. Chlorine can be added to the oxidation tube to improve the cleanliness
of the oxide, increase the oxide growth rate, and improve the performance of the device. As chlorine is
added with oxygen to the tube furnace, the oxide growth rate during the Parabolic Stage increases.
Chlorine in the oxidation tube also reacts with any heavy metals present and prevents them from
contaminating the oxide. When chlorine is used in the oxidation process, performance of the device is
improved for the following reasons: the mobile ionic charge in the silicon dioxide layer is reduced; structural
defects in the silicon dioxide and silicon are reduced; and the number of charges at the oxide/silicon
interface are decreased.
.
Oxidation With Cl Containing Gas
Local Oxidation of Si (LOCOS)
Local Oxidation
Dopant Redistribution During Thermal
Oxidation (1)
What happens to the impurities in the wafer?
These will be redistributed in a way which reflects whether or not
they are more likely to "dissolve" in the oxide or the silicon.
The redistribution occurs close to the oxide-silicon interface, and
is influenced by temperature, the relative diffusion rates of the
impurity in Si and SiO2, and the oxide growth rate.
The redistribution is described by a coefficient, m, known as the
segregation coefficient.
In very broad terms, if m > 1, the impurities will stay in the silicon, while
if m < 1, the impurities will be taken up in the oxide. The effect of
segregation on the impurity concentration profile after oxidation is
illustrated in the figure.
• N-type dopants have a higher solubility in silicon (Si) than in silicon dioxide (SiO2).
•
• Therefore as the silicon dioxide (SiO2) layer grows during oxidation, the N-type dopants
(phosphorous, arsenic, antimony) move into the silicon (Si) layer and away from the oxide layer.
This results in a higher concentration level of N-dopants in the silicon (Si) layer, and a build up of
N-dopants between the silicon (Si) and silicon dioxide (SiO2) layer.
• On the other hand, P -type dopants (boron (B)) are drawn into the silicon dioxide layer and
actually deplete the silicon (Si) layer of P-dopants. Since the location and concentrations of
dopants can affect the performance of a device, the movement of dopants during oxidation must
be monitored. Upon completion of the oxidation process, wafers undergo inspection techniques
that evaluate the location and concentration of dopants to ensure that the functioning of the device
will not be disrupted.
Oxide inspection techniques
Surface Inspection
Oxide Thickness
Oxide Cleanliness
DIFFUSION
Dope semiconductor
• Two way to dope
• Diffusion
• Ion implantation
26
Dope Semiconductor: Diffusion
• Isotropic process
28
Dopant Oxide Deposition
SiO2
Si Substrate
29
Oxidation
SiO2
Si Substrate
30
Drive-in
SiO2
Doped junction
Si Substrate
31
Strip and Clean
SiO2
Doped junction
Si Substrate
32
Diffusion Phenomena
Diffusion is a process of mass transport by atomic
movement under the influence of thermal energy and a
concentration gradient.
Atoms move from higher to lower concentration region.
If this movement is from one element to another e.g. Cu
to Ni, then it is termed inter-diffusion. If the movement
is within similar atoms as in pure metals, it is termed self-
diffusion
https://www.youtube.com/watch?v=mvZ1dJuv
enw
Requirements to package
• Protect circuit from external environment
• Protect circuit during production of PCB
• Mechanical interface to PCB
• Interface for production testing
• Good signal transfer between chip and PCB
• Good power supply to IC
• Cooling
• Small
• Cheap
Materials
• Ceramic
– Good heat conductivity
– Hermetic
– Expensive ( often more expensive than chip itself !)
• Metal (has been used internally in IBM)
– Good heat conductivity
– Hermetic
– Electrical conductive (must be mixed with other material)
• Plastic
– Cheap
– Poor heat conductivity
Can be improved by incorporating metallic heat plate.
Cooling
• Package must transport heat from IC to
environment
• Heat removed from package by:
– Air: Natural air flow, Forced air flow
improved by mounting heat sink
– PCB: Transported to PCB by package pins
– Liquid: Used in large mainframe computers
Resistive equivalent
Heat sink
IC dice Package
I = heat power
V= temperature
R = K/watt
PCB
• Package types: 60 layers MCM substrate
• Large
• PGA (Pin Grid Array)
• High pin count (up to 400)
• Previously used for most CPU’s
• PLCC (Plastic leaded chip carrier
• Limited pin count (max 84)
• Large
• Cheap
• SMD
• QFP (Quarter Flat pack)
• High pin count (up to 300)
• small
• Cheap
• SMD
New package types
• BGA (Ball Grid Array)
• Small solder balls to
connect to board
• small Package inductance:
1 - 5 nH
• Flip-chip
– Whole chip area available for IO connections
– Automatic alignment
– One step process (parallel)
– Cooling via balls (front) and back if required
– Thermal matching between chip and substrate required
– Low inductance (~0.1nH)
TAPE AUTOMATED BONDING
• IC assembly technique based on mounting and interconnecting ICs
on metalized flexible polymer tapes.
• One end fully automated bonding of an etched copper beam lead
to an IC, and other end of the lead to a PWB ( printed wiring board).
• 1966 – commercialized by General Electric Research Laboratory
– First used in small-signal integration devices (1-40 transistors, 14 I/Os)
• 1970 – strong consideration and attention but little experienced
expect in Japan
• 1980 – the most widespread adoption
• Up to now- used in high density I/O and high speed circuitry of VLSI
• Applied to variety of consumer, medical, security computer,
peripheral, telecommunication, automotive and aerospace
products.
(Dis)advantages
• Some of the advantages of TAB:
– Ability to handle small bond pads and finer pitches on the IC
– Elimination of large wire loops
– Low profile interconnection structures for thin packages
– Improved electrical performance
– Ability to handle high I/O counts
– Reduced weight
• Some of the disadvantages of TAB:
– Package size tends to increase with larger I/O counts
– Little production infrastructure
– Difficulty in assembly rework
– System testability
– Large capital equipment investment required
Structure and Processes
Electrical performance
• TAB interconnections have improved electrical performance.
• Short circuit lead lengths between the chip and substrate reducing the
impedance and signal delays.
• On the other side, wirebond have long wire loops between the chip and
package lead frame, increasing line impedance and signal delays.
• Advantages to underfills
– Compensate for thermal expansion differences
between chip and substrate
– Avoid solder corrosion
– Protect from environmental effects such as
moisture
– Absorb α particle emissions from lead in solder
Flip Chip Assembly Processes
Electrical Performance
• Flip chip provide shortest chip-to-package
connections
• Minimal resistance
• Minimal capacitance
• Minimal inductance
• Layout and materials effect the performance
Reliability
• Range from highly reliable to adequate
• Flip chips on ceramic have high reliability
• Underfilled flip chips have better reliability
• Alpha particle emissions (cause soft errors)
• Increased sensitivity to electrostatic discharge
Failure Modes
• Delamination
– Increases solder joint stress
– Allows solder to move into voids
Class 16
30/10/2021
Introduction
• In integrated circuit, manufacturing involves
– design,
– fabrication,
– assembly, and
– test processes.
– Contaminant has a size large enough to cover the active area of a sub-micron
device.
– If such type contaminant is resided on the active area of the device during
fabrication, the consequence is malfunction of the device.
– Thus, it is necessary for a modern integrated circuit fabrication facility to keep
the contaminants or particles level below part per million ppm or part per
billion ppb level
• Failure rate:
1
(t ) t
t50 e
1
exp ln
t 2 2 t50
Two failure populations
• Failure rate study is complicated due to the
existence of multiple failure mechanisms.
• Can use log normal distribution
– σ, µ: different for each mechanism.
• Two modes:
– Short median life.
• Small percentage of total population: sport population.
• Represents early failure mechanism.
– Long median life
• Represents steady state failure mechanism.
Accelerated testing:
• Under normal operating condition, the time
required to observe failure in a device is very
high.
• Accelerated testing: to study the failure chara by
accelerating the mechanisms that cause devices
to fail.
– Temperature
– Voltage
– Current
– Humidity
– Temperature cycling
Temperature acceleration
• Major cause of failure: chemical, physical
processes.
• Accelerated by temperature.
• If R is the reaction rate at which these
processes proceed,
a (T ) S Q
R sinh exp
kT kT
a (T ) kT (T )
Q Ea 0 a (T ) S B
Humidity-Temperature acceleration
• Possible failure mechanism: presence of water
vapor in the chip.
– Permeates plastic packaging material in two steps
• Transports contaminants from the surface of package
through plastic and leaches impurities from packaging
material itself.
• Diffusion of contaminated water vapor through
passivation layer of chip. Slow process. Determines
reaction rate of failure mechanism.
• Causes electrochemical corrosion.
Burn-in
• Manufactured device shows existence of early
failure.
• Photoresist or etching defects (open or shorts).
• Contamination on chip or in package
• Scratches.
• Weak chip or wire bonds
• Partially cracked chip or package
• Burn-in: operation of device for a period of time
during which most of the devices subjected to
early failure actually fails.
• Acceleration depends on mechanism contributing
to early failure.
Failure mechanisms
• Electrostatic discharge damage:
• Voltages higher than breakdown voltage of gate oxide may
be placed on the device during handling.
• Major source: triboelectricity.
• Burn-in cannot reduce this. Actually can increase early
failure rate.
• Input and output terminals are designed with protection
network to provide path for discharge of current and
protect gate oxide.
• Alpha particle induced soft errors:
• Emitted by U and Th occurring in packaging materials.
• Soft errors: random failure not related to physically
defective device.
• Eg: Loss of information stored.
Failure Rate
• Failure Rate
– A system such as a calculator that made of many
semiconductor devices is put in operation for the
purpose of calculation, would have a certain failure
rate ,
– which means it may fail after certain number of
operating hour. Thus, a calculator (system) has failure
rate with respect to operating time. The question is if
such failure is acceptable to end-user.
–
A certain failure rate of the system in a commercial aircraft is it acceptable to air
travelling passengers?
• If the unit of failure is defined to be 1 Failure Unit = 1
FIT = 1 Failure/10-9 Device-hour
If one now considers a system that has 225 integrated
circuits and the failure rate of integrated circuit is 100 FIT.
One can calculate the mean time to a failure
Thus,
Period of operation< 1
Failure/ No of transistor x =
1Failure/225 x100 x 10-9 = 4.44x104 hrs, which
is equal to 5.13 years.
• The percentage of failure per month shall be
100x10-9 x225x720x100% = 1.62%.
• Based on the above discussed example, one would see that it is
time consuming before a failure is shown out. We cannot be waiting
for 5.13 years to see a failure is shown out to calculate the failure
rate of a system.
• One ought to have a developed method by sample testing to
predict the failure rate of the system. In this section, it discusses the
methods to quantitatively measure and predict device failure rate,
and to identify and eliminate the failure mechanism.
And
The term failure rate is referred to as instantaneous fail rate and not average
The fraction of devices that are good at time t and that fails by time t+Δt is given by
F(t+t) –F(t) = R(t) – R(t+ t)
The average failure rate during the time interval is given by
Average failure rate =1/ (R(t) – R(t+ t)/R(t)
In the limit as approaches zero, this becomes the instantaneous failure rate () (t), which is
given by
• The causes of the early failure are generally fabrication and assembly
related defects such as wire problem, micro-crack, over etch, photoresist
residue, contamination, electrostatic defect etc. The defects can be wiped
out by accelerated life test and followed by a final test to segregate them.
•
• The steady useful life period, the failure rate is normally low and the rate of
failure is also fairly constant. Device failure in this period is a result of a
large number of fabrication and assembly unrelated causes such as
mishandling, applying wrong stimulant etc.
• The wear out period is the old age period, whereby the device has reached
the end of its life.
• The steady useful life period, the failure rate is
normally low and the rate of failure is also fairly
constant.