Lattice Ecp 2 M Family Data Sheet
Lattice Ecp 2 M Family Data Sheet
© 2012 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand
or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice.
Introduction
The LatticeECP2/M family of FPGA devices is optimized to deliver high performance features such as advanced
DSP blocks, high speed SERDES (LatticeECP2M family only) and high speed source synchronous interfaces in an
economical FPGA fabric. This combination was achieved through advances in device architecture and the use of
90nm technology.
The LatticeECP2/M FPGA fabric is optimized with high performance and low cost in mind. The LatticeECP2/M
devices include LUT-based logic, distributed and embedded memory, Phase Locked Loops (PLLs), Delay Locked
Loops (DLLs), pre-engineered source synchronous I/O support, enhanced sysDSP blocks and advanced configu-
ration support, including encryption (“S” versions only) and dual boot capabilities.
The LatticeECP2M device family features high speed SERDES with PCS. These high jitter tolerance and low trans-
mission jitter SERDES with PCS blocks can be configured to support an array of popular data protocols including
PCI Express, Ethernet (1GbE and SGMII), OBSAI and CPRI. Transmit Pre-emphasis and Receive Equalization
settings make SERDES suitable for chip to chip and small form factor backplane applications.
Lattice Diamond® design software allows large complex designs to be efficiently implemented using the
LatticeECP2/M FPGA family. Synthesis library support for LatticeECP2/M is available for popular logic synthesis
tools. The Diamond software uses the synthesis tool output along with the constraints from its floor planning tools
to place and route the design in the LatticeECP2/M device. The Diamond design tool extracts the timing from the
routing and back-annotates it into the design for timing verification.
Lattice provides many pre-engineered IP (Intellectual Property) modules for the LatticeECP2/M family. By using
these IP cores as standardized blocks, designers are free to concentrate on the unique aspects of their design,
increasing their productivity.
1-2
LatticeECP2/M Family Data Sheet
Architecture
September 2013 Data Sheet DS1006
Architecture Overview
Each LatticeECP2/M device contains an array of logic blocks surrounded by Programmable I/O Cells (PIC). Inter-
spersed between the rows of logic blocks are rows of sysMEM™ Embedded Block RAM (EBR) and rows of sys-
DSP™ Digital Signal Processing blocks, as shown in Figure 2-1. In addition, the LatticeECP2M family contains
SERDES Quads in one or more of the corners. Figure 2-2 shows the block diagram of ECP2M20 with one quad.
There are two kinds of logic blocks, the Programmable Functional Unit (PFU) and Programmable Functional Unit
without RAM (PFF). The PFU contains the building blocks for logic, arithmetic, RAM and ROM functions. The PFF
block contains building blocks for logic, arithmetic and ROM functions. Both PFU and PFF blocks are optimized for
flexibility, allowing complex designs to be implemented quickly and efficiently. Logic Blocks are arranged in a two-
dimensional array. Only one type of block is used per row.
The LatticeECP2/M devices contain one or more rows of sysMEM EBR blocks. sysMEM EBRs are large dedicated
18K fast memory blocks. Each sysMEM block can be configured in a variety of depths and widths of RAM or ROM.
In addition, LatticeECP2/M devices contain up to two rows of DSP Blocks. Each DSP block has multipliers and
adder/accumulators, which are the building blocks for complex signal processing capabilities.
The LatticeECP2M devices feature up to 16 embedded 3.125Gbps SERDES (Serializer / Deserializer) channels.
Each SERDES channel contains independent 8b/10b encoding / decoding, polarity adjust and elastic buffer logic.
Each group of four SERDES channels along with its Physical Coding Sub-layer (PCS) block, creates a quad. The
functionality of the SERDES/PCS Quads can be controlled by memory cells set during device configuration or by
registers that are addressable during device operation. The registers in every quad can be programmed by a soft
IP interface, referred to as the SERDES Client Interface (SCI). These quads (up to four) are located at the corners
of the devices.
Each PIC block encompasses two PIOs (PIO pairs) with their respective sysI/O buffers. The sysI/O buffers of the
LatticeECP2/M devices are arranged in eight banks, allowing the implementation of a wide variety of I/O standards.
In addition, a separate I/O bank is provided for the programming interfaces. PIO pairs on the left and right edges of
the device can be configured as LVDS transmit/receive pairs. The PIC logic also includes pre-engineered support
to aid in the implementation of high speed source synchronous standards such as SPI4.2, along with memory
interfaces including DDR2.
The LatticeECP2/M registers in PFU and sysI/O can be configured to be SET or RESET. After power up and the
device is configured, it enters into user mode with these registers SET/RESET according to the configuration set-
ting, allowing the device entering to a known state for predictable system function.
Other blocks provided include PLLs, DLLs and configuration functions. The LatticeECP2/M architecture provides
two General PLLs (GPLL) and up to six Standard PLLs (SPLL) per device. In addition, each LatticeECP2/M family
member provides two DLLs per device. The GPLLs and DLLs blocks are located in pairs at the end of the bottom-
most EBR row; the DLL block is located towards the edge of the device. The SPLL blocks are located at the end of
the other EBR/DSP rows.
The configuration block that supports features such as configuration bit-stream decryption, transparent updates
and dual boot support is located toward the center of this EBR row. The Ball Grid Array (BGA) package devices in
the LatticeECP2/M family supports a sysCONFIG™ port located in the corner between banks four and five, which
allows for serial or parallel device configuration.
In addition, every device in the family has a JTAG port. This family also provides an on-chip oscillator. The
LatticeECP2/M devices use 1.2V as their core voltage.
© 2013 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand
or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice.
Pre-engineered source
sysDSP Blocks synchronous support
Multiply and • DDR1/2
Accumulate Support • SPI4.2
• ADC/DAC devices
Configuration port
SERDES
Flexible sysIO
Buffers:
Channel Channel Channel Channel
LVCMOS, HSTL 3 2 1 0
SSTL, LVDS
Programmable
Function Units
(PFUs)
Pre-Engineered
Source Synchronous
Support
• DDR1/2
• SPI4.2
DSP Blocks • ADC/DAC devices
Multiply & Accumulate
Support
sysCLOCK SPLLs
Configuration
Logic, Including Flexible Routing
dual boot and encryption, optimized for speed,
and soft-error detection cost & routability
Configuration Port
On-Chip
Oscillator
2-2
Architecture
LatticeECP2/M Family Data Sheet
PFU Blocks
The core of the LatticeECP2/M device consists of PFU blocks, which are provided in two forms, the PFU and PFF.
The PFUs can be programmed to perform Logic, Arithmetic, Distributed RAM and Distributed ROM functions. PFF
blocks can be programmed to perform Logic, Arithmetic and ROM functions. Except where necessary, the remain-
der of this data sheet will use the term PFU to refer to both PFU and PFF blocks.
Each PFU block consists of four interconnected slices, numbered 0-3 as shown in Figure 2-3. All the interconnec-
tions to and from PFU blocks are from routing. There are 50 inputs and 23 outputs associated with each PFU block.
From
Routing
LUT4 & LUT4 & LUT4 & LUT4 & LUT4 & LUT4 &
CARRY CARRY CARRY CARRY CARRY CARRY LUT4 LUT4
D D D D D D
FF FF FF FF FF FF
To
Routing
Slice
Slice 0 through Slice 2 contain two LUT4s feeding two registers, whereas Slice 3 contains two LUT4s only. For
PFUs, Slice 0 and Slice 2 can also be configured as distributed memory, a capability not available in the PFF.
Table 2-1 shows the capability of the slices in both PFF and PFU blocks along with the operation modes they
enable. In addition, each PFU contains some logic that allows the LUTs to be combined to perform functions such
as LUT5, LUT6, LUT7 and LUT8. There is control logic to perform set/reset functions (programmable as synchro-
nous/asynchronous), clock select, chip-select and wider RAM/ROM functions. Figure 2-4 shows an overview of the
internal logic of the slice. The registers in the slice can be configured for positive/negative and edge triggered or
level sensitive clocks.
Slices 0, 1 and 2 have 14 input signals: 13 signals from routing and one from the carry-chain (from the adjacent
slice or PFU). There are seven outputs: six to routing and one to carry-chain (to the adjacent PFU). Slice 3 has 13
input signals from routing and four signals to routing. Table 2-2 lists the signals associated with Slice 0 to Slice 2.
2-3
Architecture
LatticeECP2/M Family Data Sheet
SLICE
FXB OFX1
FXA
A1 CO F1
B1 F/SUM
C1
D1 LUT4 & D Q1
CARRY* FF*
CI To
Routing
M1
M0 LUT5
From Mux
Routing OFX0
A0 CO
B0
C0 F0
D0 LUT4 &
CARRY* F/SUM Q0
D
FF*
CI
CE
CLK
LSR
2-4
Architecture
LatticeECP2/M Family Data Sheet
Modes of Operation
Each slice has up to four potential modes of operation: Logic, Ripple, RAM and ROM.
Logic Mode
In this mode, the LUTs in each slice are configured as 4-input combinatorial lookup tables. A LUT4 can have 16
possible input combinations. Any four input logic functions can be generated by programming this lookup table.
Since there are two LUT4s per slice, a LUT5 can be constructed within one slice. Larger look-up tables such as
LUT6, LUT7 and LUT8 can be constructed by concatenating other slices. Note LUT8 requires more than four
slices.
Ripple Mode
Ripple mode supports the efficient implementation of small arithmetic functions. In ripple mode, the following func-
tions can be implemented by each slice:
• Addition 2-bit
• Subtraction 2-bit
• Add/Subtract 2-bit using dynamic control
• Up counter 2-bit
• Down counter 2-bit
• Up/Down counter with Async clear
• Up/Down counter with preload (sync)
• Ripple mode multiplier building block
• Multiplier support
• Comparator functions of A and B inputs
– A greater-than-or-equal-to B
– A not-equal-to B
– A less-than-or-equal-to B
Ripple Mode includes an optional configuration that performs arithmetic using fast carry chain methods. In this con-
figuration (also referred to as CCU2 mode) two additional signals, Carry Generate and Carry Propagate, are gener-
ated on a per slice basis to allow fast arithmetic functions to be constructed by concatenating Slices.
RAM Mode
In this mode, a 16x4-bit distributed single port RAM (SPR) can be constructed using each LUT block in Slice 0 and
Slice 2 as a 16x1-bit memory. Slice 1 is used to provide memory address and control signals. A 16x2-bit pseudo
dual port RAM (PDPR) memory is created by using one Slice as the read-write port and the other companion slice
as the read-only port.
The Lattice design tools support the creation of a variety of different size memories. Where appropriate, the soft-
ware will construct these using distributed memory primitives that represent the capabilities of the PFU. Table 2-3
shows the number of slices required to implement different distributed RAM primitives. For more information about
using RAM in LatticeECP2/M devices, please see the list of additional technical documentation at the end of this
data sheet.
2-5
Architecture
LatticeECP2/M Family Data Sheet
ROM Mode
ROM mode uses the LUT logic; hence, Slices 0 through 3 can be used in ROM mode. Preloading is accomplished
through the programming interface during PFU configuration.
Routing
There are many resources provided in the LatticeECP2/M devices to route signals individually or as buses with
related control signals. The routing resources consist of switching circuitry, buffers and metal interconnect (routing)
segments.
The inter-PFU connections are made with x1 (spans two PFU), x2 (spans three PFU) and x6 (spans seven PFU).
The x1 and x2 connections provide fast and efficient connections in horizontal and vertical directions. The x2 and
x6 resources are buffered, allowing the routing of both short and long connections between PFUs.
The LatticeECP2/M family has an enhanced routing architecture that produces a compact design. The Diamond
design software takes the output of the synthesis tool and places and routes the design. Generally, the place and
route tool is completely automatic, although an interactive routing editor is available to optimize the design.
CLKI is the reference frequency (generated either from the pin or from routing) for the PLL. CLKI feeds into the
Input Clock Divider block. The CLKFB is the feedback signal (generated from CLKOP or from a user clock PIN/
logic). This signal feeds into the Feedback Divider. The Feedback Divider is used to multiply the reference fre-
quency.
The Delay Adjust Block adjusts either the delays of the reference or feedback signals. The Delay Adjust Block can
either be programmed during configuration or can be adjusted dynamically. The setup, hold or clock-to-out times of
the device can be improved by programming a delay in the feedback or input path of the PLL, which will advance or
delay the output clock with reference to the input clock.
Following the Delay Adjust Block, both the input path and feedback signals enter the Voltage Controlled Oscillator
(VCO) block. In this block the difference between the input path and feedback signals is used to control the fre-
quency and phase of the oscillator. A LOCK signal is generated by the VCO to indicate that the VCO has locked
onto the input clock signal. In dynamic mode, the PLL may lose lock after a dynamic delay adjustment and not
relock until the tLOCK parameter has been satisfied. LatticeECP2/M devices have two dedicated pins on the left and
right edges of the device for connecting optional external capacitors to the VCO. This allows the PLLs to operate at
a lower frequency. This is a shared resource that can only be used by one PLL (GPLL or SPLL) per side.
The output of the VCO then enters the post-scalar divider. The post-scalar divider allows the VCO to operate at
higher frequencies than the clock output (CLKOP), thereby increasing the frequency range. A secondary divider
takes the CLKOP signal and uses it to derive lower frequency outputs (CLKOK). The Phase/Duty Select block
adjusts the phase and duty cycle of the CLKOP signal and generates the CLKOS signal. The phase/duty cycle set-
ting can be pre-programmed or dynamically adjusted.
The primary output from the post scalar divider CLKOP along with the outputs from the secondary divider (CLKOK)
and Phase/Duty select (CLKOS) are fed to the clock distribution network.
2-6
Architecture
LatticeECP2/M Family Data Sheet
Dynamic Adjustment
Dynamic Delay Adjustment
LOCK
RST CLKOK
RSTK Secondary
Divider
(CLKOK)
Table 2-4 provides a description of the signals in the GPLL and SPLL blocks.
2-7
Architecture
LatticeECP2/M Family Data Sheet
CLKI is the input frequency (generated either from the pin or routing) for the DLL. CLKI feeds into the output muxes
block to bypass the DLL, directly to the DELAY CHAIN block and (directly or through divider circuit) to the reference
input of the Phase Frequency Detector (PFD) input mux. The reference signal for the PFD can also be generated
from the Delay Chain and CLKFB signals. The feedback input to the PFD is generated from the CLKFB pin, CLKI
or from tapped signal from the Delay chain.
The PFD produces a binary number proportional to the phase and frequency difference between the reference and
feedback signals. This binary output of the PFD is fed into a Arithmetic Logic Unit (ALU). Based on these inputs,
the ALU determines the correct digital control codes to send to the delay chain in order to better match the refer-
ence and feedback signals. This digital code from the ALU is also transmitted via the Digital Control bus (DCNTL)
bus to its associated DLLDELA delay block. The ALUHOLD input allows the user to suspend the ALU output at its
current value. The UDDCNTL signal allows the user to latch the current value on the DCNTL bus.
The DLL has two independent clock outputs, CLKOP and CLKOS. These outputs can individually select one of the
outputs from the tapped delay line. The CLKOS has optional fine phase shift and divider blocks to allow this output
to be further modified, if required. The fine phase shift block allows the CLKOS output to phase shifted a further 45,
22.5 or 11.25 degrees relative to its normal position. Both the CLKOS and CLKOP outputs are available with
optional duty cycle correction. Divide by two and divide by four frequencies are available at CLKOS. The LOCK out-
put signal is asserted when the DLL is locked. Figure 2-6 shows the DLL block diagram and Table 2-5 provides a
description of the DLL inputs and outputs.
The user can configure the DLL for many common functions such as time reference delay mode and clock injection
removal mode. Lattice provides primitives in its design tools for these functions. For more information about the
DLL, please see the list of additional technical documentation at the end of this data sheet.
Delay1
Output
÷4 Muxes
Delay2
÷2
Duty
(from routing
Reference Cycle
or external pin) Delay3 50% CLKOS
CLKI Phase
Frequency Arithmetic ÷4
Logic Unit Delay4
Detector ÷2
from CLKOP (DLL
internal), from clock net
(CLKOP) or from a user
Feedback
clock (pin or logic)
Lock LOCK
CLKFB
Detect
Digital 9 DCNTL
Control
UDDCNTL Output
RSTN
2-8
Architecture
LatticeECP2/M Family Data Sheet
PLL_PIO
Routing CLKOP
CLKI
Routing *
DLL_PIO CLKOS
ECLK1
DCNTL[8:0]
CLKI
* DLLDELA Delay Block CLKO
* Software selectable
PLL/DLL Cascading
LatticeECP2/M devices have been designed to allow certain combinations of PLL (GPLL and SPLL) and DLL cas-
cading. The allowable combinations are:
2-9
Architecture
LatticeECP2/M Family Data Sheet
The DLLs in the LatticeECP2/M are used to shift the clock in relation to the data for source synchronous inputs.
PLLs are used for frequency synthesis and clock generation for source synchronous interfaces. Cascading PLL
and DLL blocks allows applications to utilize the unique benefits of both DLLs and PLLs.
For further information about the DLL, please see the list of additional technical documentation at the end of this
data sheet.
Figure 2-8. Sharing of PIO Pins by GPLL, SPLL and GDLL in LatticeECP2M Devices
SPLL_PIO SPLL_PIO
SPLL SPLL
SPLL_PIO SPLL_PIO
SPLL SPLL
GPLL_PIO GPLL_PIO
GPLL GPLL
GDLL_PIO GDLL_PIO
GDLL GDLL
SPLL_PIO SPLL_PIO
SPLL SPLL
Clock Dividers
LatticeECP2/M devices have two clock dividers, one on the left side and one on the right side of the device. These
are intended to generate a slower-speed system clock from a high-speed edge clock. The block operates in a ÷2,
÷4 or ÷8 mode and maintains a known phase relationship between the divided down clock and the high-speed
clock based on the release of its reset signal. The clock dividers can be fed from selected PLL/DLL outputs, DLL-
DELA delay blocks, routing or from an external clock input. The clock divider outputs serve as primary clock
sources and feed into the clock distribution network. The Reset (RST) control signal resets input and synchro-
nously forces all outputs to low. The RELEASE signal releases outputs synchronously to the input clock. For further
information about clock dividers, please see the list of additional technical documentation at the end of this data
sheet. Figure 2-9 shows the clock divider connections.
2-10
Architecture
LatticeECP2/M Family Data Sheet
PLL PAD
Routing
CLKO
CLKOP (GPLL)
÷1
CLKOP (DLL)
CLKOS (GPLL)
÷2
CLKOS (DLL)
CLKDIV
÷4
RST
RELEASE ÷8
2-11
Architecture
LatticeECP2/M Family Data Sheet
From Routing
CLK CLK
DIV DIV
Clock Clock
Input Input
Primary Clock Sources
to Eight Quadrant Clock Selection
Clock Clock
Input Input
From Routing
Note: This diagram shows sources for the ECP2-50 device. Smaller LatticeECP2 devices have fewer SPLLs. All LatticeECP2M devices
have six SPLLs.
2-12
Architecture
LatticeECP2/M Family Data Sheet
2-13
Architecture
LatticeECP2/M Family Data Sheet
Clock Clock
Input Input
Clock Clock
Input Input
DLL DLL
DLL
DLL
Input Input
PLL GPLL
PLL
GPLL
Input Input
Sources for left edge clocks Sources for right edge clocks
Sources for
bottom edge
clocks
From From
Routing Routing
2-14
Architecture
LatticeECP2/M Family Data Sheet
35:1 35:1 35:1 35:1 35:1 35:1 32:1 32:1 32:1 32:1
DCS DCS
Figure 2-14 shows the timing waveforms of the default DCS operating mode. The DCS block can be programmed
to other modes. For more information about the DCS, please see the list of additional technical documentation at
the end of this data sheet.
CLK1
SEL
DCSOUT
2-15
Architecture
LatticeECP2/M Family Data Sheet
this special vertical routing channel and the eight secondary clock regions for the ECP2-50. LatticeECP2 devices
have four secondary clocks (SC0 to SC3) which are distrubed to every region.
The secondary clock muxes are located in the center of the device. Figure 2-16 shows the mux structure of the
secondary clock routing. Secondary clocks SC0 to SC3 are used for clock and control and SC4 to SC7 are used for
high fan-out signals.
Vertical Routing
Channel Regional
Boundary
Secondary Clock Secondary Clock
Region 1 Region 5
I/O Bank 7
DSP Row
I/O Bank 2
Regional
Boundary
I/O Bank 3
Regional
Boundary
2-16
Architecture
LatticeECP2/M Family Data Sheet
Clock/Control
If none of the signals are selected for both clock and control then the default value of the mux output is 1. Slice 3
does not have any registers; therefore it does not have the clock or control muxes.
Primary Clock
8
Secondary Clock
4 Clock to Slice
25:1
Routing
12
Vcc
1
2-17
Architecture
LatticeECP2/M Family Data Sheet
Secondary Clock
3
Slice Control
Routing 16:1
12
Vcc
1
(Both Mux)
Routing
Input Pad
Left and Right
GPLL Input Pad
Edge Clocks
DLL Output CLKOP ECLK1
Input Pad
Left and Right
GPLL Input Pad
Edge Clocks
DLL Output CLKOS ECLK2
2-18
Architecture
LatticeECP2/M Family Data Sheet
sysMEM Memory
LatticeECP2/M devices contains a number of sysMEM Embedded Block RAM (EBR). The EBR consists of an 18-
Kbit RAM with dedicated input and output registers.
Memory Cascading
Larger and deeper blocks of RAM can be created using EBR sysMEM Blocks. Typically, the Lattice design tools
cascade memory transparently, based on specific design inputs.
EBR memory supports two forms of write behavior for single port or dual port operation:
1. Normal – Data on the output appears only during a read cycle. During a write cycle, the data (at the current
address) does not appear on the output. This mode is supported for all data widths.
2-19
Architecture
LatticeECP2/M Family Data Sheet
2. Write Through – A copy of the input data appears at the output of the same port during a write cycle. This
mode is supported for all data widths.
SET
Memory Core D Q Port A[17:0]
LCLR
Output Data
Latches
D
SET
Q Port B[17:0]
LCLR
RSTA
RSTB
GSRN
Programmable Disable
For further information about the sysMEM EBR block, please see the the list of additional technical documentation
at the end of this data sheet.
Reset
Clock
Clock
Enable
If all clock enables remain enabled, the EBR asynchronous reset or GSR may only be applied and released after
the EBR read and write clock inputs are in a steady state condition for a minimum of 1/fMAX (EBR clock). The reset
release must adhere to the EBR synchronous reset setup time before the next active read or write clock edge.
2-20
Architecture
LatticeECP2/M Family Data Sheet
If an EBR is pre-loaded during configuration, the GSR input must be disabled or the release of the GSR during
device Wake Up must occur before the release of the device I/Os becomes active.
Note that there are no reset restrictions if the EBR synchronous reset is used and the EBR GSR input is disabled.
sysDSP™ Block
The LatticeECP2/M family provides a sysDSP block, making it ideally suited for low cost, high performance Digital
Signal Processing (DSP) applications. Typical functions used in these applications are Finite Impulse Response
(FIR) filters, Fast Fourier Transforms (FFT) functions, Correlators, Reed-Solomon/Turbo/Convolution encoders and
decoders. These complex signal processing functions use similar building blocks such as multiply-adders and mul-
tiply-accumulators.
Operand Operand
A B
x Multiplier 0
Multiplier 1
x x m/k
loops
Single
Multiplier
x M loops Multiplier k
Accumulator
Function implemented in
(k adds)
+
General purpose DSP
m/k
accumulate
Output
Function implemented
in LatticeECP2/M
The resources in each sysDSP block can be configured to support the following elements:
2-21
Architecture
LatticeECP2/M Family Data Sheet
• MULT (Multiply)
• MAC (Multiply, Accumulate)
• MULTADDSUB (Multiply, Addition/Subtraction)
• MULTADDSUBSUM (Multiply, Addition/Subtraction, Accumulate)
The number of elements available on each block depends in the width selected from the three available options x9,
x18, and x36. A number of these elements are concatenated for highly parallel implementations of DSP functions.
Table 2-7 shows the capabilities of the block.
Some options are available in four elements. The input register in all the elements can be directly loaded or can be
loaded as a shift register from previous operand registers. By selecting “dynamic operation” the following opera-
tions are possible:
• In the ‘Signed/Unsigned’ options the operands can be switched between signed and unsigned on every cycle.
• In the ‘Add/Sub’ option the Accumulator can be switched between addition and subtraction on every cycle.
• The loading of operands can switch between parallel and serial operations.
2-22
Architecture
LatticeECP2/M Family Data Sheet
Multiplicand m m
Multiplier n m
n
Input Data m Multiplier
m+n
Register
Register A
Output
(default) m+n
n
Input Data n
x Output
Register B Pipeline
m Register
n
Signed A Input To
Register Multiplier
Signed B Input To CLK (CLK0,CLK1,CLK2,CLK3)
Register Multiplier
CE (CE0,CE1,CE2,CE3)
RST(RST0,RST1,RST2,RST3)
2-23
Architecture
LatticeECP2/M Family Data Sheet
Multiplicand m m
Accumulator
n m
Multiplier n m+n+16
Register
Output
Input Data m Multiplier (default)
x
Register A
n Output
m+n m+n+16
Input Data n (default) (default)
Register B Pipeline
n Register
Register
Output
n
Signed A Input Pipeline Overflow
To Accumulator
Register Register
signal
Signed B Input Pipeline
Register Register To Accumulator
Input Pipeline
Addn To Accumulator
Register Register
CLK (CLK0,CLK1,CLK2,CLK3)
Accumsload Input Pipeline
To Accumulator CE (CE0,CE1,CE2,CE3)
Register Register
RST(RST0,RST1,RST2,RST3)
SROB SROA
2-24
Architecture
LatticeECP2/M Family Data Sheet
CLK (CLK0,CLK1,CLK2,CLK3)
Multiplicand A0 m m
CE (CE0,CE1,CE2,CE3)
m RST(RST0,RST1,RST2,RST3)
Multiplier B0 n n
Input Data m Multiplier
Register A
n
Input Data n
x m+n
(default)
Register B Pipeline
m Register Add/Sub
n
Register
Multiplicand A1 m
Output
Output
m+n+1 m+n+1
m (default) (default)
Multiplier B1 n
Input Data m Multiplier m+n
Register A (default)
n
Input Data n
x
Register B Pipeline
m Register
n
Signed A Input Pipeline
Pipe
Register Register
Reg To Add/Sub
Signed B Input Pipeline
Pipe
Register Register
Reg To Add/Sub
Addn Input Pipeline
Pipe
Register Register
To Add/Sub
Reg
2-25
Architecture
LatticeECP2/M Family Data Sheet
Multiplicand A0 m m
CLK (CLK0,CLK1,CLK2,CLK3)
n m CE (CE0,CE1,CE2,CE3)
Multiplier B0 n
Input Data m Multiplier RST(RST0,RST1,RST2,RST3)
m+n
Register A
n
Input Data n
x (default)
Register B Pipeline
m Register Add/Sub0
n
Multiplicand A1 m
m+n
(default)
m
Multiplier B1 n
Input Data n Multiplier
Register A m+n+1
n
Input Data n
x
Register B Pipeline SUM
Register
Register
Output
Multiplicand A2 Output
m m
m+n+2 m+n+2
n m
Multiplier B2 n
Input Data m Multiplier
m+n
Register A
n
Input Data n
x (default)
m+n+1
Register B Pipeline
m Register Add/Sub1
n
Multiplicand A3 m
m+n
(default)
m
Multiplier B3 n
Input Data m Multiplier
Register A
n
Input Data n
x
Register B Pipeline
m Register
Signed A n
Input Pipeline
Register Register To Add/Sub0, Add/Sub1
Signed B
Input Pipeline
Register Register To Add/Sub0, Add/Sub1
Addn0 Input Pipeline
Register Register To Add/Sub0
Addn1 Input Pipeline
Register Register
To Add/Sub1
2-26
Architecture
LatticeECP2/M Family Data Sheet
one clock is selected for each input register, pipeline register and output register. Similarly Clock enable (CE) and
Reset (RST) are selected from their four respective sources (CE0, CE1, CE2, CE3 and RST0, RST1, RST2, RST3)
at each input register, pipeline register and output register.
Unsigned Operation
Signed Operation
2-27
Architecture
LatticeECP2/M Family Data Sheet
IPexpress™
The user can access the sysDSP block via the IPexpress tool, which provides the option to configure each DSP
module (or group of modules) or by direct HDL instantiation. In addition, Lattice has partnered with The Math-
Works® to support instantiation in the Simulink® tool, a graphical simulation environment. Simulink works with Dia-
mond to dramatically shorten the DSP design cycle in Lattice FPGAs.
2-28
Architecture
LatticeECP2/M Family Data Sheet
For further information about the sysDSP block, please see the list of additional technical information at the end of
this data sheet.
2-29
Architecture
LatticeECP2/M Family Data Sheet
PIOA
TD
OPOS1
IOLT0
ONEG1
Tristate
Register
Block
OPOS0 PADA
OPOS2* “T”
ONEG0
ONEG2* IOLD0
Output
Register
Block sysIO
Buffer
QNEG0*
QNEG1*
QPOS0*
QPOS1*
INCK**
INDD
INFF
IPOS0 DI
IPOS1 Input
Register
Control Block
CLK Muxes
CE CLK1
LSR CEO
GSRN LSR
ECLK1 GSR
ECLK2 CLK0
DDRCLKPOL* CEI
DQSXFER*
PADB
“C”
PIOB
Two adjacent PIOs can be joined to provide a differential I/O pair (labeled as “T” and “C”) as shown in Figure 2-28.
The PAD Labels “T” and “C” distinguish the two PIOs. Approximately 50% of the PIO pairs on the left and right
edges of the device can be configured as true LVDS outputs. All I/O pairs can operate as inputs.
2-30
Architecture
LatticeECP2/M Family Data Sheet
PIO
The PIO contains four blocks: an input register block, output register block, tristate register block and a control logic
block. These blocks contain registers for operating in a variety of modes along with the necessary clock and selec-
tion logic.
Input signals are fed from the sysI/O buffer to the input register block (as signal DI). If desired, the input signal can
bypass the register and delay elements and be used directly as a combinatorial signal (INDD), a clock (INCK) and,
in selected blocks, the input to the DQS delay block. If an input delay is desired, designers can select either a fixed
delay or a dynamic delay DEL[3:0]. The delay, if selected, reduces input register hold time requirements when
using a global clock.
The input block allows three modes of operation. In the single data rate (SDR) the data is registered, by one of the
registers in the single data rate sync register block, with the system clock. In DDR Mode, two registers are used to
sample the data on the positive and negative edges of the DQS signal, creating two data streams, D0 and D1.
These two data streams are synchronized with the system clock before entering the core. Further discussion on
this topic is in the DDR Memory section of this data sheet.
2-31
Architecture
LatticeECP2/M Family Data Sheet
By combining input blocks of the complementary PIOs and sharing some registers from output blocks, a gearbox
function can be implemented, which takes a double data rate signal applied to PIOA and converts it as four data
streams, IPOS0A, IPOS1A, IPOS0B and IPOS1B. Figure 2-29 shows the diagram using this gearbox function. For
more information about this topic, please see information regarding additional documentation at the end of this
data sheet.
The signal DDRCLKPOL controls the polarity of the clock used in the synchronization registers. It ensures ade-
quate timing when data is transferred from the DQS to the system clock domain. For further information about this
topic, see the DDR Memory section of this data sheet.
Figure 2-29. Input Register Block for Left, Right and Bottom Edges
INCK**
DI To DQS Delay Block**
(From sysIO INDD
Buffer) DDR Registers SDR & Sync Clock Transfer Registers
Registers IPOS0A
Fixed Delay 0
0 D0
Dynamic Delay 1 D Q D Q QPOS0A
Q 1 D-Type
D
/LATCH D-Type*
DEL [3:0] D-Type
From
Routing IPOS1A
D1 D2
D Q D Q D Q D Q QPOS1A
Delayed D-Type D-Type D-Type
D-Type*
0 /LATCH
DQS To
1
Routing
DDRCLKPOL
CLKA
True PIO (A) in LVDS I/O Pair
Comp PIO (B) in LVDS I/O Pair
INCK**
DI DDRSRC To DQS Delay Block**
(From sysIO INDD
Buffer) DDR Registers SDR & Sync
Fixed Delay 0 Clock Transfer Registers
0
Registers IPOS0B
D0
Dynamic Delay 1 0
D Q
1 D Q D Q QPOS0B
1 D-Type
DEL [3:0] D-Type /LATCH D-Type*
From IPOS1B
Routing D1 0
D Q D Q D Q D Q QPOS1B
D2 1
D-Type D-Type D-Type D-Type*
Delayed 0 /LATCH
DQS 1
To
Routing
CLK0 (of PIO B)
2-32
Architecture
LatticeECP2/M Family Data Sheet
INCK*
DI
(from sysIO
To Routing
INDD
buffer)
Fixed Delay
CLK0
(from
routing)
In SDR mode, ONEG0 feeds one of the flip-flops that then feeds the output. The flip-flop can be configured as a D-
type or latch. In DDR mode, ONEG0 and OPOS0 are fed into registers on the positive edge of the clock. Then at
the next clock cycle this registered OPOS0 is latched. A multiplexer running off the same clock selects the correct
register for feeding to the output (D0).
By combining the output blocks of the complementary PIOs and sharing some registers from input blocks, a gear-
box function can be implemented, that takes four data streams: ONEG0A, ONEG1A, ONEG1B and ONEG1B.
Figure 2-32 shows the diagram using this gearbox function. For more information about this topic, please see infor-
mation regarding additional documentation at the end of this data sheet.
2-33
Architecture
LatticeECP2/M Family Data Sheet
Figure 2-31. Output and Tristate Block for Left, Right and Bottom Edges
TD
Tristate Logic
ONEG1 D Q 0
D-Type
1
/LATCH
0 TO
1
0
1
OPOS1 D Q D Q
D-Type Latch
To sysIO Buffer
From Routing
0
Q D Q DDR Output
ONEG0 D 0
1 D-Type Registers
D-Type* 1 /LATCH DO
0
1
OPOS0 0
0
Q 1
D Q D D Q D Q
0 1
D-Type* Latch D-Type Latch
1
CLKA
Clock Transfer
Registers
ECLK1 0 Programmable
ECLK2 0 Control
CLK1 1
1
(CLKA)
DQSXFER Output Logic
True PIO (A) in LVDS I/O Pair
Comp PIO (B) in LVDS I/O Pair
TD
Tristate Logic
ONEG1 D Q 0
D-Type
1
/LATCH
0 TO
1
0
Q D Q 1
OPOS1 D
D-Type Latch
To sysIO Buffer
From Routing
ONEG0 D Q D Q
D-Type DDR Output
D-Type* /LATCH Registers
DO
0
1
OPOS0 0
1
D Q D Q D Q D Q
D-Type* Latch D-Type Latch
CLKB
Clock Transfer
Registers
ECLK1 Programmable
ECLK2 0
CLK1 1
0 Control
(CLKB) 1
DQSXFER
Output Logic
* Shared with input register Note: Simplified version does not show CE and SET/RESET details
2-34
Architecture
LatticeECP2/M Family Data Sheet
TD
0
0 TO
ONEG1 D Q 1
To sysIO Buffer
D-Type
/LATCH
Tristate Logic
From Routing
ONEG0
DO
0
Q 1
D
ECLK1 D-Type
0
/LATCH
ECLK2 Output Logic
CLK1 1
(CLKA)
In SDR mode, ONEG1 feeds one of the flip-flops that then feeds the output. The flip-flop can be configured a D-
type or latch. In DDR mode, ONEG1 and OPOS1 are fed into registers on the positive edge of the clock. Then in
the next clock the registered OPOS1 is latched. A multiplexer running off the same clock cycle selects the correct
register for feeding to the output (D0).
Bottom Edge
PICs on the bottom edge have registered elements that support DDR memory interfaces. One of every 18 PIOs
contains a delay element to facilitate the generation of DQS signals. The DQS signal feeds the DQS bus that spans
the set of 18 PIOs. Figure 2-34 shows the assignment of DQS pins in each set of 18 PIOs.
2-35
Architecture
LatticeECP2/M Family Data Sheet
Top Edge
The PICs on the top edge are different from PIOs on the left, right and bottom edges. PIOs on this edge do not
have DDR registers or DQS signals.
The exact DQS pins are shown in a dual function in the Logic Signal Connections table in this data sheet. Addi-
tional detail is provided in the Signal Descriptions table. The DQS signal from the bus is used to strobe the DDR
data from the memory into input register blocks. Interfaces on the left and right edges are designed for DDR mem-
ories that support 16 bits of data, whereas interfaces on the bottom are designed for memories that support 18 bits
of data.
Figure 2-33. DQS Input Routing for the Left and Right Edges of the Device
2-36
Architecture
LatticeECP2/M Family Data Sheet
Figure 2-34. DQS Input Routing for the Bottom Edge of the Device
PADA "T"
PIO A
LVDS Pair
PIO B PADB "C"
The DQS signal (selected PIOs only, as shown in Figure 2-35) feeds from the PAD through a DQS delay element to
a dedicated DQS routing resource. The DQS signal also feeds polarity control logic, which controls the polarity of
the clock to the sync registers in the input register blocks. Figure 2-35 and Figure 2-36 show how the DQS transi-
tion signals are routed to the PIOs.
The temperature, voltage and process variations of the DQS delay block are compensated by a set of calibration
(6-bit bus) signals from two dedicated DLLs (DDR_DLL) on opposite sides of the device. Each DLL compensates
DQS delays in its half of the device as shown in Figure 2-35. The DLL loop is compensated for temperature, volt-
age and process variations by the system clock and feedback loop.
2-37
Architecture
LatticeECP2/M Family Data Sheet
Figure 2-35. Edge Clock, DLL Calibration and DQS Local Bus Distribution
ECLK1
I/O ECLK2
I/O
B B
a a
n n
k k
DQS Input 7 2
Delayed
DDR_DLL DDR_DLL DQS
(Left) (Right)
Polarity Control
I/O I/O
B B DQSXFER
a a
n n DQS Delay
k k Control Bus
6 3
Spans 18 PIOs
2-38
Architecture
LatticeECP2/M Family Data Sheet
ECLK2
ECLK1
CLK1
Polarity control
DCNTL[6:0]
DQSXFER
DQS
PIO
Output
DQSXFER Register Block DDR
sysIO Datain
Input Buffer PAD
Register Block
GSR To Sync DI
CEI Reg.
DQS CLK1
DQS To DDR
Reg.
DQS
sysIO Strobe
PIO Buffer PAD
Polarity Control
Logic
DI
DQS
DQSDEL
Calibration bus
from DLL
DCNTL[6:0]
ECLK1
DQSXFER
DQSXFERDEL*
DCNTL[6:0]
*DQSXFERDEL shifts ECLK1 by 90% and is not associated with a particular PIO.
The LatticeECP2/M family contains dedicated circuits to transfer data between these domains. To prevent set-up
and hold violations, at the domain transfer between DQS (delayed) and the system clock, a clock polarity selector
is used. This changes the edge on which the data is registered in the synchronizing registers in the input register
block. This requires evaluation at the start of each READ cycle for the correct clock polarity.
Prior to the READ operation in DDR memories, DQS is in tristate (pulled by termination). The DDR memory device
drives DQS low at the start of the preamble state. A dedicated circuit detects the first DQS rising edge after the pre-
amble state. This signal is used to control the polarity of the clock to the synchronizing registers.
2-39
Architecture
LatticeECP2/M Family Data Sheet
DQSXFER
LatticeECP2/M devices provide a DQSXFER signal to the output buffer to assist it in data transfer to DDR memo-
ries that require DQS strobe be shifted 90o. This shifted DQS strobe is generated by the DQSDEL block. The
DQSXFER signal runs the span of the data bus.
sysI/O Buffer
Each I/O is associated with a flexible buffer referred to as a sysI/O buffer. These buffers are arranged around the
periphery of the device in groups referred to as banks. The sysI/O buffers allow users to implement the wide variety
of standards that are found in today’s systems including LVCMOS, SSTL, HSTL, LVDS and LVPECL.
In LatticeECP2/M devices, single-ended output buffers and ratioed input buffers (LVTTL, LVCMOS and PCI) are
powered using VCCIO. LVTTL, LVCMOS33, LVCMOS25 and LVCMOS12 can also be set as fixed threshold inputs
independent of VCCIO.
Each bank can support up to two separate VREF voltages, VREF1 and VREF2, that set the threshold for the refer-
enced input buffers. Some dedicated I/O pins in a bank can be configured to be a reference voltage supply pin.
Each I/O is individually configurable based on the bank’s supply and reference voltages.
2-40
Architecture
LatticeECP2/M Family Data Sheet
VCCIO0
VREF1(0)
VREF2(0)
VCCIO1
VREF2(1)
REF1(1)
GND
GND
Bank 0 Bank 1
V CCIO2
V V REF1(2)
Bank 2
CCIO7
Bank 7
V REF1(7) V REF2(2)
V REF2(7) GND
GND
LEFT
RIGHT
V CCIO3
V REF1(3)
Bank 3
V CCIO6 V REF2(3)
Bank 6
V REF1(6) GND
V REF2(6)
Bank 8
V CCIO8
GND
GND
Bank 5 Bank 4
VREF2(5)
VREF1(5)
VREF2(4)
VREF1(4)
VCCIO4
VCCIO5
GND
GND
BOTTOM
2-41
Architecture
LatticeECP2/M Family Data Sheet
TOP
VCCIO0
VREF1(0)
VREF2(0)
VCCIO1
V
VREF2(1)
REF1(1)
GND
GND
SERDES SERDES
Quad Quad
Bank 0 Bank 1
VCCIO2
V
Bank 2
CCIO7 VREF1(2)
V REF1(7) V REF2(2)
Bank 7
V REF2(7) GND
GND
RIGHT
LEFT
VCCIO3
Bank 3
V REF1(3)
V CCIO6 V REF2(3)
V REF1(6) GND
Bank 6
V REF2(6)
Bank 8
GND VCCIO8
GND
Bank 5 Bank 4
SERDES SERDES
Quad Quad
VREF2(5)
VREF1(5)
VREF2(4)
VREF1(4)
VCCIO4
VCCIO5
GND
GND
BOTTOM
1. Top (Bank 0 and Bank 1) sysI/O Buffer Pairs (Single-Ended Outputs Only)
The sysI/O buffer pairs in the top banks of the device consist of two single-ended output drivers and two sets of
single-ended input buffers (both ratioed and referenced). One of the referenced input buffers can also be con-
figured as a differential input.
The two pads in the pair are described as “true” and “comp”, where the true pad is associated with the positive
side of the differential input buffer and the comp (complementary) pad is associated with the negative side of
the differential input buffer.
2. Bottom (Bank 4 and Bank 5) sysI/O Buffer Pairs (Single-Ended Outputs Only)
The sysI/O buffer pairs in the bottom banks of the device consist of two single-ended output drivers and two
2-42
Architecture
LatticeECP2/M Family Data Sheet
sets of single-ended input buffers (both ratioed and referenced). One of the referenced input buffers can also
be configured as a differential input.
The two pads in the pair are described as “true” and “comp”, where the true pad is associated with the positive
side of the differential input buffer and the comp (complementary) pad is associated with the negative side of
the differential input buffer.
3. Left and Right (Banks 2, 3, 6 and 7) sysI/O Buffer Pairs (50% Differential and 100% Single-Ended Out-
puts)
The sysI/O buffer pairs in the left and right banks of the device consist of two single-ended output drivers, two
sets of single-ended input buffers (both ratioed and referenced) and one differential output driver. One of the ref-
erenced input buffers can also be configured as a differential input. In these banks the two pads in the pair are
described as “true” and “comp”, where the true pad is associated with the positive side of the differential I/O, and
the comp (complementary) pad is associated with the negative side of the differential I/O.
LVDS differential output drivers are available on 50% of the buffer pairs on the left and right banks.
4. Bank 8 sysI/O Buffer Pairs (Single-Ended Outputs, Only on Shared Pins When Not Used by Configura-
tion)
The sysI/O buffers in Bank 8 consist of single-ended output drivers and single-ended input buffers (both ratioed
and referenced). The referenced input buffer can also be configured as a differential input.
The two pads in the pair are described as “true” and “comp”, where the true pad is associated with the positive
side of the differential input buffer and the comp (complementary) pad is associated with the negative side of the
differential input buffer.
In LatticeECP2 devices, only the I/Os on the bottom banks have programmable PCI clamps. In LatticeECP2M
devices, the I/Os on the left and bottom banks have programmable PCI clamps.
The VCC and VCCAUX supply the power to the FPGA core fabric, whereas the VCCIO supplies power to the I/O buf-
fers. In order to simplify system design while providing consistent and predictable I/O behavior, it is recommended
that the I/O buffers be powered-up prior to the FPGA core fabric. VCCIO supplies should be powered-up before or
together with the VCC and VCCAUX supplies.
Prior to and throughout programming of the FPGA, the I/O of the device have a weak-pullup resistor to VCCIO on
the input buffer and the output buffer is tri-stated. A pullup to VCCIO is present on the input until the user programs
the input differently in the FPGA design. See the DC Electrical Characteristics table of this data sheet. The pullup
value will be between 20-30K ohms based on the VCCIO voltage supplied on the board. This pullup will also remain
active if the design does not use a particular I/O.
2-43
Architecture
LatticeECP2/M Family Data Sheet
O standards (together with their supply and reference voltages) supported by LatticeECP2/M devices. For further
information about utilizing the sysI/O buffer to support a variety of standards please see the the list of additional
technical information at the end of this data sheet.
2-44
Architecture
LatticeECP2/M Family Data Sheet
Hot Socketing
LatticeECP2/M devices have been carefully designed to ensure predictable behavior during power-up and power-
down. During power-up and power-down sequences, the I/Os remain in tri-state until the power supply voltage is
high enough to ensure reliable operation. In addition, leakage into I/O pins is controlled within specified limits. This
allows for easy integration with the rest of the system. These capabilities make the LatticeECP2/M ideal for many
multiple power supply and hot-swap applications.
2-45
Architecture
LatticeECP2/M Family Data Sheet
Each quad contains four dedicated SERDES (Ch0 to Ch3) for high-speed, full-duplex serial data transfer. Each
quad also has a PCS block that interfaces to the SERDES channels and contains digital logic to support an array of
popular data protocols. PCS also contains logic to the interface to FPGA core.
Ch 3 Ch 2 Ch 1 Ch 0 Ch 3 Ch 2 Ch 1 Ch 0
SERDES Block
A differential receiver receives the serial encoded data stream, equalizes the signal, extracts the buried clock and
de-serializes the data-stream before passing the 8- or 10-bit data to the PCS logic. The transmit channel receives
the parallel (8- or 10-bit) encoded data, serializes the data and transmits the serial bit stream through the differen-
tial buffers. There is a single transmit clock per quad. Figure 2-40 shows a single channel SERDES and its inter-
face to the PCS logic. Each SERDES receiver channel provides a recovered clock to the PCS block and to the
FPGA core logic.
2-46
Architecture
LatticeECP2/M Family Data Sheet
Each Transmit and Receive channel has its independent power supplies. The Output and Input buffers of each
channel also have their own independent power supplies. In addition, there are separate power supplies for PLL,
terminating resistor per quad.
Figure 2-40. Simplified Channel Block Diagram for SERDES and PCS
16/20 bits
TX REFCLK
Receive Data
To FPGA Core
TX PLL
FPGA Transmit Clock
Up
Serializer Polarity 8b/10b
Sample
8:1/10:1 Adjust Encoder
FIFO 8/10 bits or
16/20 bits
Transmit Data
Transmit
PCS
As shown in Figure 2-40, the PCS receives the parallel digital data from the deserializer receivers and adjusts the
polarity, detects, byte boundary, decodes (8b/10b) and provides Clock Tolerance Compensation (CTC) FIFO for
changing the clock domain from receiver clock to the FPGA Clock.
For the transmit channel, the PCS block receives the parallel data from the FPGA core, encodes it with 8b/10b,
adjusts the polarity and passes the 8/10 bit data to the transmit SERDES channel.
The PCS also provides bypass modes that allow a direct 8-bit or 10-bit interface from the SERDES to the FPGA
logic. The PCS interface to FPGA can also be programmed to run at 1/2 speed for a 16-bit or 20-bit interface to the
FPGA logic.
The Diamond design tools support all modes of the PCS. Most modes are dedicated to applications associated
with a specific industry standard data protocol. Other more general purpose modes allow users to define their own
operation. With Diamond, the user can define the mode for each quad in a design.
Popular standards such as 10Gb Ethernet and x4 PCI-Express and 4x Serial RapidIO can be implemented using
IP (provided by Lattice), a single quad (Four SERDES channels and PCS) and some additional logic from the core.
For further information about SERDES, please see the list of additional technical documentation at the end of this
data sheet.
2-47
Architecture
LatticeECP2/M Family Data Sheet
For more details on boundary scan test, please see information regarding additional technical documentation at
the end of this data sheet.
Device Configuration
All LatticeECP2/M devices contain two ports that can be used for device configuration. The Test Access Port (TAP),
which supports bit-wide configuration, and the sysCONFIG port, support both byte-wide and serial configuration,
including the standard SPI Flash interface. The TAP supports both the IEEE Standard 1149.1 Boundary Scan
specification and the IEEE Standard 1532 In- System Configuration specification. The sysCONFIG port is a 20-pin
interface with six I/Os used as dedicated pins with the remainder used as dual-use pins. See TN1108,
LatticeECP2/M sysCONFIG Usage Guide for more information about using the dual-use pins as general purpose I/
Os.
On power-up, the FPGA SRAM is ready to be configured using the selected sysCONFIG port. Once a configuration
port is selected, it will remain active throughout that configuration cycle. The IEEE 1149.1 port can be activated any
time after power-up by sending the appropriate command through the TAP port.
1. Decryption Support
LatticeECP2/M devices provide on-chip, One Time Programmable (OTP) non-volatile key storage to support
decryption of a 128-bit AES encrypted bitstream, securing designs and deterring design piracy.
For more information about device configuration, please see the list of additional technical documentation at the
end of this data sheet.
2-48
Architecture
LatticeECP2/M Family Data Sheet
for checking soft errors (SED) in SRAM. SED can be run on a programmed device when the user logic is not active.
If a soft error occurs, during user mode (normal operation) the device can be programmed to either reload from a
known good boot image or generate an error signal.
For further information about Soft Error Detect (SED) support, please see the list of additional technical documen-
tation at the end of this data sheet.
External Resistor
LatticeECP2/M devices require a single external, 10K ohm ±1% value between the XRES pin and ground. Device
configuration will not be completed if this resistor is missing. There is no boundary scan register on the external
resistor pad.
On-Chip Oscillator
Every LatticeECP2/M device has an internal CMOS oscillator which is used to derive a Master Clock for configura-
tion. The oscillator and the Master Clock run continuously and are available to user logic after configuration is com-
pleted. The software default value of the Master Clock is 2.5MHz. Table 2-16 lists all the available Master
Configuration Clock frequencies for normal non-encrypted mode and encrypted mode. When a different Master
Clock is selected during the design process, the following sequence takes place:
3. The Master Clock frequency changes to the selected frequency once the clock configuration bits are received.
4. If the user does not select a master clock frequency, then the configuration bitstream defaults to the Master
Clock frequency of 2.5MHz.
This internal CMOS oscillator is available to the user by routing it as an input clock to the clock tree. For further
information about the use of this oscillator for configuration or user mode, please see the list of additional technical
documentation at the end of this data sheet.
Density Shifting
The LatticeECP2/M family is designed to ensure that different density devices in the same family and in the same
package have the same pinout. Furthermore, the architecture ensures a high success rate when performing design
migration from lower density devices to higher density devices. In many cases, it is also possible to shift a lower uti-
lization design targeted for a high-density device to a lower density device. However, the exact details of the final
resource utilization will impact the likelihood of success in each case. Design migration between LatticeECP2 and
LatticeECP2M families is not possible. For specific requirements relating to sysCONFIG pins of the ECP2M50,
M70 and M100, see the Logic Signal Connections tables.
2-49
LatticeECP2/M Family Data Sheet
DC and Switching Characteristics
September 2013 Data Sheet DS1006
© 2013 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand
or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice.
ESD Performance
Please refer to LatticeECP2/M Product Family Qualification Summary for complete qualification data, including
ESD performance.
3-2
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
DC Electrical Characteristics
Over Recommended Operating Conditions
Symbol Parameter Condition Min. Typ. Max. Units
IIL, IIH1, 2 Input or I/O Low Leakage 0 VIN (VCCIO - 0.2V) — — 10 µA
IIH 1, 3
Input or I/O High Leakage (VCCIO - 0.2V) < VIN 3.6V — — 150 µA
IPU I/O Active Pull-up Current 0 VIN 0.7 VCCIO -30 — -210 µA
IPD I/O Active Pull-down Current VIL (MAX) VIN VIH (MAX) 30 — 210 µA
IBHLS Bus Hold Low Sustaining Current VIN = VIL (MAX) 30 — — µA
IBHHS Bus Hold High Sustaining Current VIN = 0.7 VCCIO -30 — — µA
IBHLO Bus Hold Low Overdrive Current 0 VIN VCCIO — — 210 µA
IBHHO Bus Hold High Overdrive Current 0 VIN VCCIO — — -210 µA
VBHT Bus Hold Trip Points 0 VIN VIH (MAX) VIL (MAX) — VIH (MIN) V
4 VCCIO = 3.3V, 2.5V, 1.8V, 1.5V, 1.2V, — 5 8 pf
C1 I/O Capacitance
VCC = 1.2V, VIO = 0 to VIH (MAX)
VCCIO = 3.3V, 2.5V, 1.8V, 1.5V, 1.2V, — 5 6 pf
C24 Dedicated Input Capacitance
VCC = 1.2V, VIO = 0 to VIH (MAX)
1. Input or I/O leakage current is measured with the pin configured as an input or as an I/O with the output driver tri-stated. It is not measured
with the output driver active. Bus maintenance circuits are disabled.
2. When used as VREF, maximum leakage = 25uA
3. Applicable to general purpose I/Os in top and bottom banks.
4. TA 25oC, f = 1.0MHz.
3-3
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-4
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-5
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-6
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-7
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-8
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-9
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-10
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
For further information about LVPECL, RSDS, MLVDS, BLVDS and other differential interfaces please see the list
of additional technical information at the end of this data sheet.
3-11
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
LVDS25E
The top and bottom sides of LatticeECP2/M devices support LVDS outputs via emulated complementary LVCMOS
outputs in conjunction with a parallel resistor across the driver outputs. The scheme shown in Figure 3-1 is one
possible solution for point-to-point signals.
LVCMOS33D
All I/O banks support emulated differential I/O using the LVCMOS33D I/O type. This option, along with the external
resistor network, provides the system designer the flexibility to place differential outputs on an I/O bank with 3.3V
VCCIO. The default drive current for LVCMOS33D output is 12mA with the option to change the device strength to
4mA, 8mA, 16mA or 20mA. Follow the LVCMOS33 specifications for the DC characteristics of the LVCMOS33D.
3-12
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
BLVDS
The LatticeECP2/M devices support the BLVDS standard. This standard is emulated using complementary LVC-
MOS outputs in conjunction with a parallel external resistor across the driver outputs. BLVDS is intended for use
when multi-drop and bi-directional multi-point differential signaling is required. The scheme shown in Figure 3-2 is
one possible solution for bi-directional multi-point differential signals.
45-90 45-90
ohms RTL ohms RTR
2.5V 2.5V
16mA 16mA
RS = 90 ohms RS = RS =
RS = RS = 90 ohms
RS = 90 ohms
90 ohms ... 90 ohms
90 ohms
+ +
- -
- -
+
+
2.5V 2.5V 2.5V 2.5V
16mA 16mA 16mA 16mA
3-13
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
LVPECL
The LatticeECP2/M devices support the differential LVPECL standard. This standard is emulated using comple-
mentary LVCMOS outputs in conjunction with a parallel resistor across the driver outputs. The LVPECL input stan-
dard is supported by the LVDS differential input buffer. The scheme shown in Figure 3-3 is one possible solution for
point-to-point signals.
+
VCCIO = 3.3V RP = 196 ohms RT = 100 ohms
(+/-5%) (+/-1%) (+/-1%) -
RS = 93.1 ohms
(+/-1%)
16mA
Transmission line,
Zo = 100 ohm differential
On-chip Off-chip Off-chip On-chip
3-14
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
RSDS
The LatticeECP2/M devices support differential RSDS standard. This standard is emulated using complementary
LVCMOS outputs in conjunction with a parallel resistor across the driver outputs. The RSDS input standard is sup-
ported by the LVDS differential input buffer. The scheme shown in Figure 3-4 is one possible solution for RSDS
standard implementation. Resistor values in Figure 3-4 are industry standard values for 1% resistors.
VCCIO = 2.5V +
RP = 121 ohms RT = 100 ohms
(+/-5%) (+/-1%) (+/-1%) -
RS = 294 ohms
(+/-1%)
8mA
Transmission line,
Zo = 100 ohm differential
On-chip Off-chip Off-chip On-chip
3-15
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
MLVDS
The LatticeECP2/M devices support the differential MLVDS standard. This standard is emulated using complemen-
tary LVCMOS outputs in conjunction with a parallel resistor across the driver outputs. The MLVDS input standard is
supported by the LVDS differential input buffer. The scheme shown in Figure 3-5 is one possible solution for
MLVDS standard implementation. Resistor values in Figure 3-5 are industry standard values for 1% resistors.
OE OE
RTL 50 to 70 ohms +/-1% 50 to 70 ohms +/-1% RTR
2.5V
2.5V
16mA
RS = 16mA
RS = RS = RS = 35ohms
OE 35ohms RS = OE
35ohms 35ohms RS =
35ohms 35ohms
+ +
- -
+
+
-
-
For further information about LVPECL, RSDS, MLVDS, BLVDS and other differential interfaces please see the list
of additional technical information at the end of this data sheet.
3-16
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
Register-to-Register Performance
Function -7 Timing Units
Basic Functions
16-bit Decoder 599 MHz
32-bit Decoder 542 MHz
64-bit Decoder 417 MHz
4:1 MUX 847 MHz
8:1 MUX 803 MHz
16:1 MUX 660 MHz
32:1 MUX 577 MHz
8-bit Adder 591 MHz
16-bit Adder 500 MHz
64-bit Adder 306 MHz
16-bit Counter 488 MHz
32-bit Counter 378 MHz
64-bit Counter 260 MHz
64-bit Accumulator 253 MHz
Embedded Memory Functions
512x36 Single Port RAM, EBR Output
370 MHz
Registers
1024x18 True-Dual Port RAM (Write
Through or Normal, EBR Output Regis- 370 MHz
ters)
1024x18 True-Dual Port RAM (Write
Through or Normal, PLC Output 280 MHz
Registers)
Distributed Memory Functions
16x4 Pseudo-Dual Port RAM (One PFU) 819 MHz
32x4 Pseudo-Dual Port RAM 521 MHz
64x8 Pseudo-Dual Port RAM 435 MHz
DSP Functions
18x18 Multiplier (All Registers) 420 MHz
9x9 Multiplier (All Registers) 420 MHz
3-17
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-18
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-19
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-20
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-21
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-22
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-23
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-24
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-25
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
Transmit Parameters
tDIBSPI
tDIASPI
CLK
tDIASPI tDIBSPI
Receiver Parameters
RDTCLK
Data (RDAT,RCTL)
tDVACLKSPI tDVACLKSPI
tDVECLKSPI tDVECLKSPI
3-26
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
Transmit Parameters
DQS
DQ
tDQVBS tDQVAS
tDQVAS tDQVBS
Receiver Parameters
DQS
DQ
tDVADQ tDVADQ
tDVEDQ tDVEDQ
Transmit Parameters
CLOCK
DATA
tDVBCKXGMII t DVACKXGMII
t DVACKXGMII t DVBCKXGMII
Receiver Parameters
CLOCK
DATA
tSUXGMII tSUXGMII
tHXGMII tHXGMII
3-27
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-28
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-29
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
Timing Diagrams
Figure 3-9. Read/Write Mode (Normal)
CLKA
CSA
WEA
ADA A0 A1 A0 A1 A0
tSU tH
DIA D0 D1
DOA D0 D1 D0
Note: Input data and address are registered at the positive edge of the clock and output data appears after the positive edge of the clock.
CLKA
CSA
WEA
ADA A0 A1 A0 A1 A0
tSU tH
DIA D0 D1
tCOO_EBR tCOO_EBR
3-30
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
Figure 3-11. Write Through (SP Read/Write on Port A, Input Registers Only)
CLKA
CSA
WEA
ADA A0 A1 A0
tSU tH
DIA D0 D1 D2 D3 D4
Note: Input data and address are registered at the positive edge of the clock and output data appears after the positive edge of the clock.
3-31
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-32
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-33
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-34
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-35
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-36
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
DLL Timing
Over Recommended Operating Conditions
Parameter Description Min. Typ. Max. Units
fREF Input reference clock frequency (on-chip or off-chip) 100 — 500 MHz
fFB Feedback clock frequency (on-chip or off-chip) 100 — 500 MHz
fCLKOP1 Output clock frequency, CLKOP 100 — 500 MHz
fCLKOS2 Output clock frequency, CLKOS 25 — 500 MHz
tPJIT Output clock period jitter (clean input) — 250 ps p-p
tCYJIT Output clock cycle to cycle jitter (clean input) 250 ps p-p
Output clock duty cycle (at 50% levels, 50% duty cycle input clock,
tDUTY 35 65 %
50% duty cycle circuit turned off, time reference delay mode)
Output clock duty cycle (at 50% levels, arbitrary duty cycle input
tDUTYTRD 40 60 %
clock, 50% duty cycle circuit enabled, time reference delay mode)
Output clock duty cycle (at 50% levels, arbitrary duty cycle input
tDUTYCIR clock, 50% duty cycle circuit enabled, clock injection removal 40 60 %
mode)
Output clock to clock skew between two outputs with the same
tSKEW3 — — 100 ps
phase setting
tPWH Input clock minimum pulse width high (at 80% level) 750 — — ps
tPWL Input clock minimum pulse width low (at 20% level) 750 — — ps
tINSTB Input clock period jitter — — +/-250 ps
tLOCK DLL lock time 18,500 — — cycles
tRSWD Digital reset minimum pulse width (at 80% level) 3 — — ns
tPA Delay step size 16.5 42 59.4 ps
tRANGE1 Max. delay setting for single delay block (144 taps) 2.376 6 8.553 ns
tRANGE4 Max. delay setting for four chained delay blocks 9.504 24 34.214 ns
1. CLKOP runs at the same frequency as the input clock.
2. CLKOS minimum frequency is obtained with divide by 4.
3. This is intended to be a “path-matching” design guideline and is not a measurable specification.
3-37
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-38
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-39
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
R3 R4 R5
R1 R2 R6
WA DEC Elastic
HDINPi Deserializer Polarity Buffer Down Receive Data
EQ CDR FIFO Sample
1:8/1:10 Adjust
HDINNi FIFO
BYPASS BYPASS
Receiver BYPASS BYPASS
FPGA
Receive Clock
T2 T1
T3
T4 Encoder Up
Polarity Sample Transmit Data
HDOUTPi Adjust FIFO
Serializer
HDOUTNi 8:1/10:1
BYPASS
BYPASS BYPASS
Transmitter
FPGA
Transmit Clock
3-40
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-41
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-42
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
5.00
0.00
Jitter T.
Gain@25°C,1.20V,
PJ=100ps
-5.00
dB
-10.00
-15.00
-20.00
-25.00
0.1 1 10 100
Frequency (MHz)
3-43
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-44
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-45
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
3-46
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
CCLK
t SUCS tHCS
CS1N
CSN
tSUWD t HWD
WRITEN
tDCB
BUSY
t CORD
3-47
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
CCLK 1
t SUCS tHCS
CS1N
CSN
t SUWD t HWD
WRITEN
tDCB
BUSY
tSUCBDI t HCBDI
1. In Master Parallel Mode the FPGA provides CCLK. In Slave Parallel Mode the external device provides CCLK.
CCLK (input)
tHSCDI
t SUSCDI
DIN
t CODO
DOUT
VCC/VCCAUX 1
tICFG
INITN
DONE
t VMC
CCLK 2
t SUCFG tHCFG
3
CFG[2:0] Valid
1. Time taken from V CC or V CCAUX , whichever is the last to reach its V MIN.
2. Device is in a Master Mode.
3. The CFG pins are normally static (hard wired).
3-48
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
PROGRAMN
t DPPINIT
tDINIT
INITN
tDINITD
DONE
CCLK
tSUCFG tHCFG
CFG[2:0] Valid
tIODISS
USER I/O
PROGRAMN
INITN
DONE Wake-Up
tMWC
CCLK
tIOENSS
USER I/O
VCC
tPRGM
PROGRAMN
DPPDONE
DONE
tDPPINIT
tDINIT
INITN tCSSPI tCSPID
CSSPI[0:1]N tCFGX
tCSCCLK 0 1 2 3 4 5 6 7
CCLK
tSOE tSOCDO
SISPI/BUSY D7 D6 D5 D4 D3 D2 D1 D0 0
D7/SPID0
XXX Valid Bitstream
3-49
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
TMS
TDI
tBTS tBTH
TCK
tBTCRH
tBTCRS
Data to be
captured Data Captured
from I/O
Data to be
driven out Valid Data Valid Data
to I/O
3-50
DC and Switching Characteristics
LatticeECP2/M Family Data Sheet
VT
R1
DUT Test Poi nt
R2 CL*
3-51
LatticeECP2/M Family Data Sheet
Pinout Information
July 2012 Data Sheet DS1006
Signal Descriptions
Signal Name I/O Description
General Purpose
[Edge] indicates the edge of the device on which the pad is located. Valid
edge designations are L (Left), B (Bottom), R (Right), T (Top).
[Row/Column Number] indicates the PFU row or the column of the device on
which the PIC exists. When Edge is T (Top) or B (Bottom), only need to spec-
ify Row Number. When Edge is L (Left) or R (Right), only need to specify Col-
umn Number.
P[Edge] [Row/Column Number*]_[A/B] I/O
[A/B] indicates the PIO within the PIC to which the pad is connected. Some of
these user-programmable pins are shared with special function pins. These
pins, when not used as special purpose pins, can be programmed as I/Os for
user logic. During configuration the user-programmable I/Os are tri-stated
with an internal pull-up resistor enabled. If any pin is not used (or not bonded
to a package pin), it is also tri-stated with an internal pull-up resistor enabled
after configuration. See “Typical sysI/O I/O Behavior During Power-up” for
more information about I/O behavior during power-up.
GSRN I Global RESET signal (active low). Any I/O pin can be GSRN.
NC — No connect.
GND — Ground. Dedicated pins.
VCC — Power supply pins for core logic. Dedicated pins.
Auxiliary power supply pin. This dedicated pin powers all the differential and
VCCAUX —
referenced input buffers.
VCCIOx — Dedicated power supply pins for I/O bank x.
PLL supply pins. Should be tied to VCC even when the corresponding PLL is
VCCPLL —
unused.
Reference supply pins for I/O bank x. Pre-determined pins in each bank are
VREF1_x, VREF2_x —
assigned as VREF inputs. When not used, they may be used as I/O pins.
XRES4 — 10K ohm +/-1% resistor must be connected between this pad and ground.
4
PLLCAP — External capacitor connection for PLL.
PLL, DLL and Clock Functions (Used as user programmable I/O pins when not in use for PLL or clock pins)
[LOC][num]_VCCPLL — Power supply pin for PLL: LUM, LLM, RUM, RLM, num = row from center.
General Purpose PLL (GPLL) input pads: LUM, LLM, RUM, RLM, num = row
[LOC][num]_GPLL[T, C]_IN_A I
from center, T = true and C = complement, index A,B,C...at each side.
Optional feedback GPLL input pads: LUM, LLM, RUM, RLM, num = row from
[LOC][num]_GPLL[T, C]_FB_A I
center, T = true and C = complement, index A,B,C...at each side.
Secondary PLL (SPLL) input pads: LUM, LLM, RUM, RLM, num = row from
[LOC][num]_SPLL[T, C]_IN_A5 I
center, T = true and C = complement, index A,B,C...at each side.
Optional feedback (SPLL) input pads: LUM, LLM, RUM, RLM, num = row from
[LOC][num]_SPLL[T, C]_FB_A5 I
center, T = true and C = complement, index A,B,C...at each side.
DLL input pads: LUM, LLM, RUM, RLM, num = row from center, T = true and
[LOC][num]_DLL[T, C]_IN_A I
C = complement, index A,B,C...at each side.
Optional feedback (DLL) input pads: LUM, LLM, RUM, RLM, num = row from
[LOC][num]_DLL[T, C]_FB_A I
center, T = true and C = complement, index A,B,C...at each side.
Primary Clock pads, T = true and C = complement, n per side, indexed by
PCLK[T, C]_[n:0]_[3:0] I
bank and 0,1,2,3 within bank.
© 2012 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand
or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice.
4-2
Pinout Information
LatticeECP2/M Family Data Sheet
4-3
Pinout Information
LatticeECP2/M Family Data Sheet
PICs and DDR Data (DQ) Pins Associated with the DDR Strobe (DQS) Pin
PICs Associated with DDR Strobe (DQS) and
DQS Strobe PIO Within PIC Data (DQ) Pins
For Left and Right Edges of the Device
A DQ
P[Edge] [n-4]
B DQ
A DQ
P[Edge] [n-3]
B DQ
A DQ
P[Edge] [n-2]
B DQ
A DQ
P[Edge] [n-1]
B DQ
A [Edge]DQSn
P[Edge] [n]
B DQ
A DQ
P[Edge] [n+1]
B DQ
A DQ
P[Edge] [n+2]
B DQ
A DQ
P[Edge] [n+3]
B DQ
For Bottom Edge of the Device
A DQ
P[Edge] [n-4]
B DQ
A DQ
P[Edge] [n-3]
B DQ
A DQ
P[Edge] [n-2]
B DQ
A DQ
P[Edge] [n-1]
B DQ
A [Edge]DQSn
P[Edge] [n]
B DQ
A DQ
P[Edge] [n+1]
B DQ
A DQ
P[Edge] [n+2]
B DQ
A DQ
P[Edge] [n+3]
B DQ
A DQ
P[Edge] [n+4]
B DQ
Notes:
1. “n” is a row PIC number.
2. The DDR interface is designed for memories that support one DQS strobe up to 15 bits
of data for the left and right edges and up to 17 bits of data for the bottom edge. In some
packages, all the potential DDR data (DQ) pins may not be available. PIC numbering
definitions are provided in the “Signal Names” column of the Signal Descriptions table.
4-4
Pinout Information
LatticeECP2/M Family Data Sheet
Single Ended/ Differential I/O Bank3 8/4 12/6 8/4 11/5 12/6 16/8
Pairs per Bank (including Bank4 18/9 32/16 18/9 19/9 32/16 46/23
emulated with resistors) Bank5 8/4 14/7 10/5 18/9 17/8 46/23
Bank6 9/4 26/13 9/4 18/8 26/13 32/16
Bank7 12/6 20/10 12/6 12/6 20/10 23/11
Bank8 6/2 14/7 6/2 6/2 14/7 14/7
Bank0 (Top Edge) 0 0 0 0 0 0
Bank1 (Top Edge) 0 0 0 0 0 0
Bank2 (Right Edge) 1 5 1 4 5 6
Bank3 (Right Edge) 3 3 3 3 3 4
True LVDS I/O Pairs per Bank Bank4 (Bottom Edge) 0 0 0 0 0 0
Bank5 (Bottom Edge) 0 0 0 0 0 0
Bank6 (Left Edge) 2 7 2 6 7 8
Bank7 (Left Edge) 5 5 5 5 5 5
Bank8 (Right Edge) 0 0 0 0 0 0
4-5
Pinout Information
LatticeECP2/M Family Data Sheet
4-6
Pinout Information
LatticeECP2/M Family Data Sheet
Single Ended/ Differential I/O Bank3 11/5 12/6 22/11 32/16 22/11 42/21
Pairs per Bank (including Bank4 19/9 32/16 46/23 50/25 46/23 54/27
emulated with resistors) Bank5 18/9 17/8 46/23 68/34 46/23 68/34
Bank6 18/8 26/13 40/20 48/24 40/20 58/29
Bank7 12/6 20/10 33/16 35/17 33/16 47/23
Bank8 6/2 14/7 14/7 14/7 14/7 14/7
Bank0 (Top Edge) 0 0 0 0 0 0
Bank1 (Top Edge) 0 0 0 0 0 0
Bank2 (Right Edge) 4 5 9 9 9 12
Bank3 (Right Edge) 3 3 5 8 5 9
True LVDS I/O Pairs per Bank Bank4 (Bottom Edge) 0 0 0 0 0 0
Bank5 (Bottom Edge) 0 0 0 0 0 0
Bank6 (Left Edge) 6 7 10 12 10 13
Bank7 (Left Edge) 5 5 8 8 8 11
Bank8 (Right Edge) 0 0 0 0 0 0
4-7
Pinout Information
LatticeECP2/M Family Data Sheet
4-8
Pinout Information
LatticeECP2/M Family Data Sheet
4-9
Pinout Information
LatticeECP2/M Family Data Sheet
4-10
Pinout Information
LatticeECP2/M Family Data Sheet
Single Ended/ Differential I/O Bank3 16/8 36/18 16/8 36/18 43/21
Pairs per Bank (including Bank4 32/16 62/31 32/16 62/31 50/21
emulated with resistors) Bank5 20/10 28/14 20/10 28/14 60/30
Bank6 16/8 40/20 16/8 39/19 52/25
Bank7 28/14 40/20 28/14 40/20 60/30
Bank8 14/7 14/7 14/7 14/7 14/7
Bank0 (Top Edge) 0 0 0 0 0
Bank1 (Top Edge) 0 0 0 0 0
Bank2 (Right Edge) 3 7 3 7 12
Bank3 (Right Edge) 4 9 4 9 11
True LVDS I/O Pairs per Bank Bank4 (Bottom Edge) 0 0 0 0 0
Bank5 (Bottom Edge) 0 0 0 0 0
Bank6 (Left Edge) 4 10 4 10 14
Bank7 (Left Edge) 7 10 7 10 15
Bank8 (Right Edge) 0 0 0 0 0
4-11
Pinout Information
LatticeECP2/M Family Data Sheet
4-12
Pinout Information
LatticeECP2/M Family Data Sheet
4-13
Pinout Information
LatticeECP2/M Family Data Sheet
4-14
Pinout Information
LatticeECP2/M Family Data Sheet
4-15
Pinout Information
LatticeECP2/M Family Data Sheet
4-16
Pinout Information
LatticeECP2/M Family Data Sheet
4-17
Pinout Information
LatticeECP2/M Family Data Sheet
4-18
Pinout Information
LatticeECP2/M Family Data Sheet
4-19
Pinout Information
LatticeECP2/M Family Data Sheet
4-20
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2M100: A11, A12, A23, A24, AA11, AB11, AC26, AC30, AD11, AD12, AD13, AD14, AD15, AD19, AD21, AD22,
AD23, AE10, AE11, AE12, AE13, AE19, AE21, AE22, AE23, AF11, AF21, AF22, AF24, AF8, AF9, AG10, AG11, AG24,
AG25, AG26, AG3, AG7, AG8, AG9, AH10, AH11, AH13, AH24, AH25, AH26, AH27, AH5, AH6, AH7, AH8, AH9, AJ10,
AJ11, AJ13, AJ24, AJ25, AJ26, AJ27, AJ3, AJ4, AJ5, AJ6, AJ7, AJ8, AJ9, AK10, AK11, AK12, AK24, AK25, AK26, AK4,
AK9, AL11, AL12, AL34, AM10, AM11, AM13, AM25, AN10, AN11, AN12, AN13, AN24, AN25, AP11, AP12, AP24, B10,
B11, B12, B13, B22, B23, B24, B25, C10, C11, C13, C22, C24, C25, D1, D15, D24, D34, E10, E24, E25, E26, E3, E31,
E32, E33, E34, E4, E9, F10, F25, F26, F27, F28, F29, F30, F31, F32, F33, F34, F5, F6, F7, F8, F9, G10, G11,G24, G25,
G26, G27, G28, G29, G30, G33, G34, G7, G8, G9, H10, H11, H24, H25, H26, H27, H28, H29, H8, H9, J10, J11, J24,
J25, J26, J9, K10, K11, K12, K13, K23, K24, K25, K26, L11, L12, L13, L14, L21, L22, L23, L24, L25, L26, M11, M24,
M25, M6, M8, N10, N11, P10, P25, P26, R9, T11, U11, W11, Y10, Y11
1. All grounds must be electrically connected at the board level. For fpBGA packages, the total number of GND balls is less than the actual
number of GND logic connections from the die to the common package GND plane.
2. NC pins should not be connected to any active signals, VCC or GND.
3. For package migration across device densities, the designer must comprehend the package pin requirements for the SERDES blocks. Spe-
cifically, the SERDES power pins of the largest density device must be accounted to accommodate migration to other smaller devices using
the same package. Please refer to TN1160, LatticeECP2/M Density Migration for more details.
4-21
Pinout Information
LatticeECP2/M Family Data Sheet
4-22
Pinout Information
LatticeECP2/M Family Data Sheet
4-23
Pinout Information
LatticeECP2/M Family Data Sheet
4-24
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one-to-one
connection with a package ball or pin.
4-25
Pinout Information
LatticeECP2/M Family Data Sheet
4-26
Pinout Information
LatticeECP2/M Family Data Sheet
4-27
Pinout Information
LatticeECP2/M Family Data Sheet
4-28
Pinout Information
LatticeECP2/M Family Data Sheet
4-29
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-30
Pinout Information
LatticeECP2/M Family Data Sheet
4-31
Pinout Information
LatticeECP2/M Family Data Sheet
4-32
Pinout Information
LatticeECP2/M Family Data Sheet
4-33
Pinout Information
LatticeECP2/M Family Data Sheet
4-34
Pinout Information
LatticeECP2/M Family Data Sheet
4-35
Pinout Information
LatticeECP2/M Family Data Sheet
4-36
Pinout Information
LatticeECP2/M Family Data Sheet
4-37
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-38
Pinout Information
LatticeECP2/M Family Data Sheet
4-39
Pinout Information
LatticeECP2/M Family Data Sheet
4-40
Pinout Information
LatticeECP2/M Family Data Sheet
4-41
Pinout Information
LatticeECP2/M Family Data Sheet
4-42
Pinout Information
LatticeECP2/M Family Data Sheet
4-43
Pinout Information
LatticeECP2/M Family Data Sheet
4-44
Pinout Information
LatticeECP2/M Family Data Sheet
4-45
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-46
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2-12E/12SE LFE2-20E/20SE
Ball Ball/Pad Ball/Pad
Number Function Bank Dual Function Differential Function Bank Dual Function Differential
E4 PL2A 7 VREF2_7 T (LVDS)* PL2A 7 VREF2_7 T (LVDS)*
E5 PL2B 7 VREF1_7 C (LVDS)* PL2B 7 VREF1_7 C (LVDS)*
- - - GNDIO7 -
E3 NC - PL4A 7 LDQ8 T (LVDS)*
F4 PL3A 7 T PL5A 7 LDQ8 T
F3 NC - PL4B 7 LDQ8 C (LVDS)*
F5 PL3B 7 C PL5B 7 LDQ8 C
VCCIO VCCIO7 7 VCCIO7 7
E2 PL4A 7 T (LVDS)* PL6A 7 LDQ8 T (LVDS)*
G6 PL5A 7 T PL7A 7 LDQ8 T
E1 PL4B 7 C (LVDS)* PL6B 7 LDQ8 C (LVDS)*
G7 PL5B 7 C PL7B 7 LDQ8 C
GNDIO GNDIO7 - GNDIO7 -
F1 NC - PL9A 7 LDQ8 T
H4 NC - PL8A 7 LDQS8 T (LVDS)*
F2 NC - PL9B 7 LDQ8 C
- - - VCCIO7 7
H5 NC - PL8B 7 LDQ8 C (LVDS)*
G1 NC - PL11A 7 LDQ8 T
G3 NC - PL10A 7 LDQ8 T (LVDS)*
G2 NC - PL11B 7 LDQ8 C
- - - GNDIO -
G4 NC - PL10B 7 LDQ8 C (LVDS)*
J4 PL7A 7 LDQ10 T PL13A 7 LDQ16 T
H1 PL6A 7 LDQ10 PL12A 7 LDQ16 T (LVDS)*
J5 PL7B 7 LDQ10 C PL13B 7 LDQ16 C
L6 PL9A 7 LDQ10 T PL15A 7 LDQ16 T
VCCIO VCCIO7 7 VCCIO7 7
J2 PL8A 7 LDQ10 T (LVDS)* PL14A 7 LDQ16 T (LVDS)*
L5 PL9B 7 LDQ10 C PL15B 7 LDQ16 C
J1 PL8B 7 LDQ10 C (LVDS)* PL14B 7 LDQ16 C (LVDS)*
K3 PL10A 7 LDQS10 T (LVDS)* PL16A 7 LDQS16 T (LVDS)*
GNDIO GNDIO7 - GNDIO -
K4 PL10B 7 LDQ10 C (LVDS)* PL16B 7 LDQ16 C (LVDS)*
K2 PL11A 7 LDQ10 T PL17A 7 LDQ16 T
VCCIO VCCIO7 7 VCCIO7 7
K1 PL11B 7 LDQ10 C PL17B 7 LDQ16 C
L4 PL12A 7 LDQ10 T (LVDS)* PL18A 7 LDQ16 T (LVDS)*
GNDIO GNDIO7 - GNDIO -
L3 PL12B 7 LDQ10 C (LVDS)* PL18B 7 LDQ16 C (LVDS)*
L2 PL13A 7 PCLKT7_0/LDQ10 T PL19A 7 PCLKT7_0/LDQ16 T
L1 PL13B 7 PCLKC7_0/LDQ10 C PL19B 7 PCLKC7_0/LDQ16 C
M5 PL15A 6 PCLKT6_0 T (LVDS)* PL21A 6 PCLKT6_0/LDQ25 T (LVDS)*
VCCIO VCCIO6 6 - -
4-47
Pinout Information
LatticeECP2/M Family Data Sheet
4-48
Pinout Information
LatticeECP2/M Family Data Sheet
4-49
Pinout Information
LatticeECP2/M Family Data Sheet
4-50
Pinout Information
LatticeECP2/M Family Data Sheet
4-51
Pinout Information
LatticeECP2/M Family Data Sheet
4-52
Pinout Information
LatticeECP2/M Family Data Sheet
4-53
Pinout Information
LatticeECP2/M Family Data Sheet
4-54
Pinout Information
LatticeECP2/M Family Data Sheet
4-55
Pinout Information
LatticeECP2/M Family Data Sheet
4-56
Pinout Information
LatticeECP2/M Family Data Sheet
4-57
Pinout Information
LatticeECP2/M Family Data Sheet
4-58
Pinout Information
LatticeECP2/M Family Data Sheet
4-59
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-60
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2-35E/SE LFE2-50E/SE
Ball Ball/Pad Ball/Pad
Number Function Bank Dual Function Differential Function Bank Dual Function Differential
E4 PL2A 7 VREF2_7/LDQ6 T (LVDS)* PL2A 7 VREF2_7 T (LVDS)*
E5 PL2B 7 VREF1_7/LDQ6 C (LVDS)* PL2B 7 VREF1_7 C (LVDS)*
VCCIO VCCIO7 - GNDIO7 -
GNDIO GNDIO7 - VCCIO 7
E3 PL10A 7 LDQ14 T (LVDS)* PL12A 7 LDQ16 T (LVDS)*
F3 PL10B 7 LDQ14 C (LVDS)* PL12B 7 LDQ16 C (LVDS)*
F4 PL11A 7 LDQ14 T PL13A 7 LDQ16 T
F5 PL11B 7 LDQ14 C PL13B 7 LDQ16 C
E2 PL12A 7 LDQ14 T (LVDS)* PL14A 7 LDQ16 T (LVDS)*
VCCIO VCCIO7 7 VCCIO 7
E1 PL12B 7 LDQ14 C (LVDS)* PL14B 7 LDQ16 C (LVDS)*
G6 PL13A 7 LDQ14 T PL15A 7 LDQ16 T
G7 PL13B 7 LDQ14 C PL15B 7 LDQ16 C
H4 PL14A 7 LDQS14 T (LVDS)* PL16A 7 LDQS16 T (LVDS)*
GNDIO GNDIO7 - GNDIO7 -
H5 PL14B 7 LDQ14 C (LVDS)* PL16B 7 LDQ16 C (LVDS)*
F1 PL15A 7 LDQ14 T PL17A 7 LDQ16 T
F2 PL15B 7 LDQ14 C PL17B 7 LDQ16 C
VCCIO VCCIO7 7 VCCIO 7
G3 PL16A 7 LDQ14 T (LVDS)* PL18A 7 LDQ16 T (LVDS)*
G4 PL16B 7 LDQ14 C (LVDS)* PL18B 7 LDQ16 C (LVDS)*
G1 PL17A 7 LDQ14 T PL19A 7 LDQ16 T
G2 PL17B 7 LDQ14 C PL19B 7 LDQ16 C
GNDIO GNDIO7 - GNDIO7 -
- - - VCCIO 7
H6 NC - PL25A 7 LUM0_SPLLT_IN_A/LDQ24 T
- - - VCCIO 7
J6 NC - PL25B 7 LUM0_SPLLC_IN_A/LDQ24 C
H3 NC - PL26A 7 LUM0_SPLLT_FB_A/LDQ24 T
H2 NC - PL26B 7 LUM0_SPLLC_FB_A/LDQ24 C
- - - GNDIO7 -
- - - VCCIO 7
H1 PL18A 7 LDQ22 PL37A 7 LDQ41
J4 PL19A 7 LDQ22 T PL38A 7 LDQ41 T
J5 PL19B 7 LDQ22 C PL38B 7 LDQ41 C
VCCIO VCCIO7 7 VCCIO 7
J2 PL20A 7 LDQ22 T (LVDS)* PL39A 7 LDQ41 T (LVDS)*
J1 PL20B 7 LDQ22 C (LVDS)* PL39B 7 LDQ41 C (LVDS)*
L6 PL21A 7 LDQ22 T PL40A 7 LDQ41 T
L5 PL21B 7 LDQ22 C PL40B 7 LDQ41 C
GNDIO GNDIO7 - GNDIO7 -
K3 PL22A 7 LDQS22 T (LVDS)* PL41A 7 LDQS41 T (LVDS)*
K4 PL22B 7 LDQ22 C (LVDS)* PL41B 7 LDQ41 C (LVDS)*
K2 PL23A 7 LDQ22 T PL42A 7 LDQ41 T
VCCIO VCCIO7 7 VCCIO 7
4-61
Pinout Information
LatticeECP2/M Family Data Sheet
4-62
Pinout Information
LatticeECP2/M Family Data Sheet
4-63
Pinout Information
LatticeECP2/M Family Data Sheet
4-64
Pinout Information
LatticeECP2/M Family Data Sheet
4-65
Pinout Information
LatticeECP2/M Family Data Sheet
4-66
Pinout Information
LatticeECP2/M Family Data Sheet
4-67
Pinout Information
LatticeECP2/M Family Data Sheet
4-68
Pinout Information
LatticeECP2/M Family Data Sheet
4-69
Pinout Information
LatticeECP2/M Family Data Sheet
4-70
Pinout Information
LatticeECP2/M Family Data Sheet
4-71
Pinout Information
LatticeECP2/M Family Data Sheet
4-72
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-73
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2-20E/20SE LFE2-35E/35SE
Ball Ball/Pad Ball/Pad
Number Function Bank Dual Function Differential Function Bank Dual Function Differential
D2 PL2A 7 VREF2_7 T (LVDS)* PL2A 7 VREF2_7/LDQ6 T (LVDS)*
D1 PL2B 7 VREF1_7 C (LVDS)* PL2B 7 VREF1_7/LDQ6 C (LVDS)*
GND GNDIO7 - GNDIO7 -
F6 PL3A 7 T PL3A 7 LDQ6 T
F5 PL3B 7 C PL3B 7 LDQ6 C
VCCIO VCCIO7 7 VCCIO7 7
E4 NC - PL4A 7 LDQ6 T (LVDS)*
E3 NC - PL4B 7 LDQ6 C (LVDS)*
E2 NC - PL5A 7 LDQ6 T
E1 NC - PL5B 7 LDQ6 C
GND GNDIO7 - GNDIO7 -
H6 NC - PL6A 7 LDQS6 T (LVDS)*
H5 NC - PL6B 7 LDQ6 C (LVDS)*
F2 NC - PL7A 7 LDQ6 T
VCCIO VCCIO7 7 VCCIO7 7
F1 NC - PL7B 7 LDQ6 C
H8 NC - PL8A 7 LDQ6 T (LVDS)*
J9 NC - PL8B 7 LDQ6 C (LVDS)*
G4 NC - PL9A 7 LDQ6 T
GND GNDIO7 - GNDIO7 -
G3 NC - PL9B 7 LDQ6 C
H7 PL4A 7 LDQ8 T (LVDS)* PL10A 7 LDQ14 T (LVDS)*
J8 PL4B 7 LDQ8 C (LVDS)* PL10B 7 LDQ14 C (LVDS)*
G2 PL5A 7 LDQ8 T PL11A 7 LDQ14 T
G1 PL5B 7 LDQ8 C PL11B 7 LDQ14 C
H3 PL6A 7 LDQ8 T (LVDS)* PL12A 7 LDQ14 T (LVDS)*
VCCIO VCCIO7 7 VCCIO7 7
H4 PL6B 7 LDQ8 C (LVDS)* PL12B 7 LDQ14 C (LVDS)*
J5 PL7A 7 LDQ8 T PL13A 7 LDQ14 T
J4 PL7B 7 LDQ8 C PL13B 7 LDQ14 C
J3 PL8A 7 LDQS8 T (LVDS)* PL14A 7 LDQS14 T (LVDS)*
GND GNDIO7 - GNDIO7 -
K4 PL8B 7 LDQ8 C (LVDS)* PL14B 7 LDQ14 C (LVDS)*
H1 PL9A 7 LDQ8 T PL15A 7 LDQ14 T
H2 PL9B 7 LDQ8 C PL15B 7 LDQ14 C
VCCIO VCCIO7 7 VCCIO7 7
K6 PL10A 7 LDQ8 T (LVDS)* PL16A 7 LDQ14 T (LVDS)*
K7 PL10B 7 LDQ8 C (LVDS)* PL16B 7 LDQ14 C (LVDS)*
J1 PL11A 7 LDQ8 T PL17A 7 LDQ14 T
J2 PL11B 7 LDQ8 C PL17B 7 LDQ14 C
GND GNDIO7 - GNDIO7 -
VCCIO VCCIO7 7 VCCIO7 7
K3 NC - NC -
K2 NC - NC -
GND GNDIO7 - GNDIO7 -
K1 NC - NC -
4-74
Pinout Information
LatticeECP2/M Family Data Sheet
4-75
Pinout Information
LatticeECP2/M Family Data Sheet
4-76
Pinout Information
LatticeECP2/M Family Data Sheet
4-77
Pinout Information
LatticeECP2/M Family Data Sheet
4-78
Pinout Information
LatticeECP2/M Family Data Sheet
4-79
Pinout Information
LatticeECP2/M Family Data Sheet
4-80
Pinout Information
LatticeECP2/M Family Data Sheet
4-81
Pinout Information
LatticeECP2/M Family Data Sheet
4-82
Pinout Information
LatticeECP2/M Family Data Sheet
4-83
Pinout Information
LatticeECP2/M Family Data Sheet
4-84
Pinout Information
LatticeECP2/M Family Data Sheet
4-85
Pinout Information
LatticeECP2/M Family Data Sheet
4-86
Pinout Information
LatticeECP2/M Family Data Sheet
4-87
Pinout Information
LatticeECP2/M Family Data Sheet
4-88
Pinout Information
LatticeECP2/M Family Data Sheet
4-89
Pinout Information
LatticeECP2/M Family Data Sheet
4-90
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-91
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2-50E/SE LFE2-70E/SE
Ball Ball/Pad Ball/Pad
Number Function Bank Dual Function Differential Function Bank Dual Function Differential
D2 PL2A 7 VREF2_7 T (LVDS)* PL2A 7 VREF2_7 T (LVDS)*
D1 PL2B 7 VREF1_7 C (LVDS)* PL2B 7 VREF1_7 C (LVDS)*
GND GNDIO7 - GNDIO7 -
F6 PL5A 7 LDQ8 T PL18A 7 LDQ21 T
F5 PL5B 7 LDQ8 C PL18B 7 LDQ21 C
VCCIO VCCIO7 7 VCCIO7 7
E4 PL6A 7 LDQ8 T (LVDS)* PL19A 7 LDQ21 T (LVDS)*
E3 PL6B 7 LDQ8 C (LVDS)* PL19B 7 LDQ21 C (LVDS)*
E2 PL7A 7 LDQ8 T PL20A 7 LDQ21 T
E1 PL7B 7 LDQ8 C PL20B 7 LDQ21 C
GND GNDIO7 - GNDIO7 -
H6 PL8A 7 LDQS8 T (LVDS)* PL21A 7 LDQS21 T (LVDS)*
H5 PL8B 7 LDQ8 C (LVDS)* PL21B 7 LDQ21 C (LVDS)*
F2 PL9A 7 LDQ8 T PL22A 7 LDQ21 T
VCCIO VCCIO7 7 VCCIO7 7
F1 PL9B 7 LDQ8 C PL22B 7 LDQ21 C
H8 PL10A 7 LDQ8 T (LVDS)* PL23A 7 LDQ21 T (LVDS)*
J9 PL10B 7 LDQ8 C (LVDS)* PL23B 7 LDQ21 C (LVDS)*
G4 PL11A 7 LDQ8 T PL24A 7 LDQ21 T
GND GNDIO7 - GNDIO7 -
G3 PL11B 7 LDQ8 C PL24B 7 LDQ21 C
H7 PL12A 7 LDQ16 T (LVDS)* PL25A 7 LDQ29 T (LVDS)*
J8 PL12B 7 LDQ16 C (LVDS)* PL25B 7 LDQ29 C (LVDS)*
G2 PL13A 7 LDQ16 T PL26A 7 LDQ29 T
G1 PL13B 7 LDQ16 C PL26B 7 LDQ29 C
H3 PL14A 7 LDQ16 T (LVDS)* PL27A 7 LDQ29 T (LVDS)*
VCCIO VCCIO7 7 VCCIO7 7
H4 PL14B 7 LDQ16 C (LVDS)* PL27B 7 LDQ29 C (LVDS)*
J5 PL15A 7 LDQ16 T PL28A 7 LDQ29 T
J4 PL15B 7 LDQ16 C PL28B 7 LDQ29 C
J3 PL16A 7 LDQS16 T (LVDS)* PL29A 7 LDQS29 T (LVDS)*
GND GNDIO7 - GNDIO7 -
K4 PL16B 7 LDQ16 C (LVDS)* PL29B 7 LDQ29 C (LVDS)*
H1 PL17A 7 LDQ16 T PL30A 7 LDQ29 T
H2 PL17B 7 LDQ16 C PL30B 7 LDQ29 C
VCCIO VCCIO7 7 VCCIO7 7
K6 PL18A 7 LDQ16 T (LVDS)* PL31A 7 LDQ29 T (LVDS)*
K7 PL18B 7 LDQ16 C (LVDS)* PL31B 7 LDQ29 C (LVDS)*
J1 PL19A 7 LDQ16 T PL32A 7 LDQ29 T
J2 PL19B 7 LDQ16 C PL32B 7 LDQ29 C
GND GNDIO7 - GNDIO7 -
VCCIO VCCIO7 7 VCCIO7 7
K3 PL23A 7 LDQ24 T PL36A 7 LDQ37 T
K2 PL23B 7 LDQ24 C PL36B 7 LDQ37 C
GND GNDIO7 - GNDIO7 -
K1 PL24A 7 LDQS24*** T (LVDS)* PL37A 7 LDQS37*** T (LVDS)*
4-92
Pinout Information
LatticeECP2/M Family Data Sheet
4-93
Pinout Information
LatticeECP2/M Family Data Sheet
4-94
Pinout Information
LatticeECP2/M Family Data Sheet
4-95
Pinout Information
LatticeECP2/M Family Data Sheet
4-96
Pinout Information
LatticeECP2/M Family Data Sheet
4-97
Pinout Information
LatticeECP2/M Family Data Sheet
4-98
Pinout Information
LatticeECP2/M Family Data Sheet
4-99
Pinout Information
LatticeECP2/M Family Data Sheet
4-100
Pinout Information
LatticeECP2/M Family Data Sheet
4-101
Pinout Information
LatticeECP2/M Family Data Sheet
4-102
Pinout Information
LatticeECP2/M Family Data Sheet
4-103
Pinout Information
LatticeECP2/M Family Data Sheet
4-104
Pinout Information
LatticeECP2/M Family Data Sheet
4-105
Pinout Information
LatticeECP2/M Family Data Sheet
4-106
Pinout Information
LatticeECP2/M Family Data Sheet
4-107
Pinout Information
LatticeECP2/M Family Data Sheet
4-108
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-109
Pinout Information
LatticeECP2/M Family Data Sheet
4-110
Pinout Information
LatticeECP2/M Family Data Sheet
4-111
Pinout Information
LatticeECP2/M Family Data Sheet
4-112
Pinout Information
LatticeECP2/M Family Data Sheet
4-113
Pinout Information
LatticeECP2/M Family Data Sheet
4-114
Pinout Information
LatticeECP2/M Family Data Sheet
4-115
Pinout Information
LatticeECP2/M Family Data Sheet
4-116
Pinout Information
LatticeECP2/M Family Data Sheet
4-117
Pinout Information
LatticeECP2/M Family Data Sheet
4-118
Pinout Information
LatticeECP2/M Family Data Sheet
4-119
Pinout Information
LatticeECP2/M Family Data Sheet
4-120
Pinout Information
LatticeECP2/M Family Data Sheet
4-121
Pinout Information
LatticeECP2/M Family Data Sheet
4-122
Pinout Information
LatticeECP2/M Family Data Sheet
4-123
Pinout Information
LatticeECP2/M Family Data Sheet
4-124
Pinout Information
LatticeECP2/M Family Data Sheet
4-125
Pinout Information
LatticeECP2/M Family Data Sheet
4-126
Pinout Information
LatticeECP2/M Family Data Sheet
4-127
Pinout Information
LatticeECP2/M Family Data Sheet
4-128
Pinout Information
LatticeECP2/M Family Data Sheet
4-129
Pinout Information
LatticeECP2/M Family Data Sheet
4-130
Pinout Information
LatticeECP2/M Family Data Sheet
4-131
Pinout Information
LatticeECP2/M Family Data Sheet
4-132
Pinout Information
LatticeECP2/M Family Data Sheet
4-133
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-134
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2M20E/SE LFE2M35E/SE
Ball Ball/Pad Ball/Pad Dual
Number Function Bank Dual Function Differential Function Bank Function Differential
A2 PL2A 7 LDQ6 T (LVDS)* PL2A 7 LDQ6 T (LVDS)*
B2 PL2B 7 LDQ6 C (LVDS)* PL2B 7 LDQ6 C(LVDS)*
D3 PL3A 7 LDQ6 T PL3A 7 LDQ6 T
C2 PL3B 7 LDQ6 C PL3B 7 LDQ6 C
E4 PL4A 7 LDQ6 T (LVDS)* PL4A 7 LDQ6 T (LVDS)*
VCCIO VCCIO7 7 VCCIO7 7
E5 PL4B 7 LDQ6 C (LVDS)* PL4B 7 LDQ6 C(LVDS)*
B1 PL5A 7 LDQ6 T PL5A 7 LDQ6 T
C1 PL5B 7 LDQ6 C PL5B 7 LDQ6 C
D2 PL6A 7 LDQS6 T (LVDS)* PL6A 7 LDQS6 T (LVDS)*
GNDIO GNDIO7 - GNDIO7 -
D1 PL6B 7 LDQ6 C (LVDS)* PL6B 7 LDQ6 C(LVDS)*
E1 PL7A 7 LDQ6 T PL7A 7 LDQ6 T
F1 PL7B 7 LDQ6 C PL7B 7 LDQ6 C
VCCIO VCCIO7 7 VCCIO7 7
F3 PL8A 7 LDQ6 T (LVDS)* PL8A 7 LDQ6 T (LVDS)*
F2 PL8B 7 LDQ6 C (LVDS)* PL8B 7 LDQ6 C(LVDS)*
F6 PL9A 7 VREF2_7/LDQ6 T PL9A 7 VREF2_7/LDQ6 T
F5 PL9B 7 VREF1_7/LDQ6 C PL9B 7 VREF1_7/LDQ6 C
GNDIO GNDIO7 - GNDIO7 -
G4 PL11A 7 LUM0_SPLLT_IN_A T (LVDS)* PL11A 7 LUM0_SPLLT_IN_A/LDQ15 T (LVDS)*
G3 PL11B 7 LUM0_SPLLC_IN_A C (LVDS)* PL11B 7 LUM0_SPLLC_IN_A/LDQ15 C(LVDS)*
G1 PL12A 7 LUM0_SPLLT_FB_A T PL12A 7 LUM0_SPLLT_FB_A/LDQ15 T
G2 PL12B 7 LUM0_SPLLC_FB_A C PL12B 7 LUM0_SPLLC_FB_A/LDQ15 C
H1 PL13A 7 T (LVDS)* PL13A 7 LDQ15 T (LVDS)*
VCCIO VCCIO7 7 VCCIO7 7
J1 PL13B 7 C (LVDS)* PL13B 7 LDQ15 C(LVDS)*
H2 PL14A 7 T PL14A 7 LDQ15 T
H3 PL14B 7 C PL14B 7 LDQ15 C
GNDIO GNDIO7 - GNDIO7 -
VCCIO VCCIO7 7 VCCIO7 7
G6 PL24A 7 LDQ22 T (LVDS)* PL34A 7 LDQ32 T (LVDS)*
H6 PL24B 7 LDQ22 C (LVDS)* PL34B 7 LDQ32 C(LVDS)*
J2 PL25A 7 PCLKT7_0/LDQ22 T PL35A 7 PCLKT7_0/LDQ32 T
GNDIO GNDIO7 - GNDIO7 -
K1 PL25B 7 PCLKC7_0/LDQ22 C PL35B 7 PCLKC7_0/LDQ32 C
H4 PL27A 6 PCLKT6_0 T (LVDS)* PL37A 6 PCLKT6_0 T (LVDS)*
H5 PL27B 6 PCLKC6_0 C (LVDS)* PL37B 6 PCLKC6_0 C(LVDS)*
J4 PL28A 6 VREF2_6 T PL38A 6 VREF2_6 T
K4 PL28B 6 VREF1_6 C PL38B 6 VREF1_6 C
VCCIO VCCIO6 6 VCCIO6 6
J6 PL31A 6 LLM1_SPLLT_IN_A T (LVDS)* PL41A 6 LLM2_SPLLT_IN_A T (LVDS)*
GNDIO GNDIO6 - GNDIO6 -
J5 PL31B 6 LLM1_SPLLC_IN_A C (LVDS)* PL41B 6 LLM2_SPLLC_IN_A C(LVDS)*
K3 PL32A 6 LLM1_SPLLT_FB_A T PL42A 6 LLM2_SPLLT_FB_A T
K2 PL32B 6 LLM1_SPLLC_FB_A C PL42B 6 LLM2_SPLLC_FB_A C
VCCIO VCCIO6 6 VCCIO6 6
4-135
Pinout Information
LatticeECP2/M Family Data Sheet
4-136
Pinout Information
LatticeECP2/M Family Data Sheet
4-137
Pinout Information
LatticeECP2/M Family Data Sheet
4-138
Pinout Information
LatticeECP2/M Family Data Sheet
4-139
Pinout Information
LatticeECP2/M Family Data Sheet
4-140
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-141
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2M20E/SE LFE2M35E/SE
Ball Ball/Pad Ball/Pad
Number Function Bank Dual Function Differential Function Bank Dual Function Differential
D1 PL2A 7 LDQ6 T (LVDS)* PL2A 7 LDQ6 T (LVDS)*
E1 PL2B 7 LDQ6 C (LVDS)* PL2B 7 LDQ6 C (LVDS)*
F1 PL3A 7 LDQ6 T PL3A 7 LDQ6 T
F2 PL3B 7 LDQ6 C PL3B 7 LDQ6 C
F5 PL4A 7 LDQ6 T (LVDS)* PL4A 7 LDQ6 T (LVDS)*
VCCIO VCCIO7 7 VCCIO7 7
G6 PL4B 7 LDQ6 C (LVDS)* PL4B 7 LDQ6 C (LVDS)*
F4 PL5A 7 LDQ6 T PL5A 7 LDQ6 T
F3 PL5B 7 LDQ6 C PL5B 7 LDQ6 C
G1 PL6A 7 LDQS6 T (LVDS)* PL6A 7 LDQS6 T (LVDS)*
GNDIO GNDIO7 - GNDIO7 -
G2 PL6B 7 LDQ6 C (LVDS)* PL6B 7 LDQ6 C (LVDS)*
H1 PL7A 7 LDQ6 T PL7A 7 LDQ6 T
H2 PL7B 7 LDQ6 C PL7B 7 LDQ6 C
VCCIO VCCIO7 7 VCCIO7 7
H7 PL8A 7 LDQ6 T (LVDS)* PL8A 7 LDQ6 T (LVDS)*
H6 PL8B 7 LDQ6 C (LVDS)* PL8B 7 LDQ6 C (LVDS)*
G3 PL9A 7 VREF2_7/LDQ6 T PL9A 7 VREF2_7/LDQ6 T
H3 PL9B 7 VREF1_7/LDQ6 C PL9B 7 VREF1_7/LDQ6 C
GNDIO GNDIO7 - GNDIO7 -
H5 PL11A 7 LUM0_SPLLT_IN_A T (LVDS)* PL11A 7 LUM0_SPLLT_IN_A/LDQ15 T (LVDS)*
H4 PL11B 7 LUM0_SPLLC_IN_A C (LVDS)* PL11B 7 LUM0_SPLLC_IN_A/LDQ15 C (LVDS)*
J1 PL12A 7 LUM0_SPLLT_FB_A T PL12A 7 LUM0_SPLLT_FB_A/LDQ15 T
J2 PL12B 7 LUM0_SPLLC_FB_A C PL12B 7 LUM0_SPLLC_FB_A/LDQ15 C
J3 PL13A 7 T (LVDS)* PL13A 7 LDQ15 T (LVDS)*
VCCIO VCCIO7 7 VCCIO7 7
J4 PL13B 7 C (LVDS)* PL13B 7 LDQ15 C (LVDS)*
J7 PL14A 7 T PL14A 7 LDQ15 T
J6 PL14B 7 C PL14B 7 LDQ15 C
GNDIO GNDIO7 - GNDIO7 -
VCCIO VCCIO7 7 VCCIO7 7
K1 PL18A 7 LUM1_SPLLT_IN_A/LDQ22 T (LVDS)* PL28A 7 LUM1_SPLLT_IN_A/LDQ32 T (LVDS)*
K2 PL18B 7 LUM1_SPLLC_IN_A/LDQ22 C (LVDS)* PL28B 7 LUM1_SPLLC_IN_A/LDQ32 C (LVDS)*
J5 PL19A 7 LUM1_SPLLT_FB_A/LDQ22 T PL29A 7 LUM1_SPLLT_FB_A/LDQ32 T
K5 PL19B 7 LUM1_SPLLC_FB_A/LDQ22 C PL29B 7 LUM1_SPLLC_FB_A/LDQ32 C
VCCIO VCCIO7 7 VCCIO7 7
K7 PL20A 7 LDQ22 T (LVDS)* PL30A 7 LDQ32 T (LVDS)*
K6 PL20B 7 LDQ22 C (LVDS)* PL30B 7 LDQ32 C (LVDS)*
L6 PL21A 7 LDQ22 T PL31A 7 LDQ32 T
L7 PL21B 7 LDQ22 C PL31B 7 LDQ32 C
GNDIO GNDIO7 - GNDIO7 -
L1 PL22A 7 LDQS22 T (LVDS)* PL32A 7 LDQS32 T (LVDS)*
L2 PL22B 7 LDQ22 C (LVDS)* PL32B 7 LDQ32 C (LVDS)*
M7 PL23A 7 LDQ22 T PL33A 7 LDQ32 T
VCCIO VCCIO7 7 VCCIO7 7
L5 PL23B 7 LDQ22 C PL33B 7 LDQ32 C
L3 PL24A 7 LDQ22 T (LVDS)* PL34A 7 LDQ32 T (LVDS)*
4-142
Pinout Information
LatticeECP2/M Family Data Sheet
4-143
Pinout Information
LatticeECP2/M Family Data Sheet
4-144
Pinout Information
LatticeECP2/M Family Data Sheet
4-145
Pinout Information
LatticeECP2/M Family Data Sheet
4-146
Pinout Information
LatticeECP2/M Family Data Sheet
4-147
Pinout Information
LatticeECP2/M Family Data Sheet
4-148
Pinout Information
LatticeECP2/M Family Data Sheet
URC_SQ_REFCLK URC_SQ_REFCLK
D17 12 T 12 T
P P
C16 URC_SQ_VCCP 12 URC_SQ_VCCP 12
A12 URC_SQ_HDINP2 12 T URC_SQ_HDINP2 12 T
C12 URC_SQ_VCCIB2 12 URC_SQ_VCCIB2 12
B12 URC_SQ_HDINN2 12 C URC_SQ_HDINN2 12 C
C11 URC_SQ_VCCRX2 12 URC_SQ_VCCRX2 12
URC_SQ_HDOUTP URC_SQ_HDOUTP
A15 12 T 12 T
2 2
C15 URC_SQ_VCCOB2 12 URC_SQ_VCCOB2 12
B15 URC_SQ_HDOUTN 12 C
URC_SQ_HDOUTN
12 C
2 2
C14 URC_SQ_VCCTX2 12 URC_SQ_VCCTX2 12
URC_SQ_HDOUTN URC_SQ_HDOUTN
B14 12 C 12 C
3 3
A13 URC_SQ_VCCOB3 12 URC_SQ_VCCOB3 12
URC_SQ_HDOUTP URC_SQ_HDOUTP
A14 12 T 12 T
3 3
C13 URC_SQ_VCCTX3 12 URC_SQ_VCCTX3 12
B11 URC_SQ_HDINN3 12 C URC_SQ_HDINN3 12 C
B10 URC_SQ_VCCIB3 12 URC_SQ_VCCIB3 12
A11 URC_SQ_HDINP3 12 T URC_SQ_HDINP3 12 T
C10 URC_SQ_VCCRX3 12 URC_SQ_VCCRX3 12
4-149
Pinout Information
LatticeECP2/M Family Data Sheet
4-150
Pinout Information
LatticeECP2/M Family Data Sheet
4-151
Pinout Information
LatticeECP2/M Family Data Sheet
4-152
Pinout Information
LatticeECP2/M Family Data Sheet
4-153
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-154
Pinout Information
LatticeECP2/M Family Data Sheet
4-155
Pinout Information
LatticeECP2/M Family Data Sheet
4-156
Pinout Information
LatticeECP2/M Family Data Sheet
4-157
Pinout Information
LatticeECP2/M Family Data Sheet
4-158
Pinout Information
LatticeECP2/M Family Data Sheet
4-159
Pinout Information
LatticeECP2/M Family Data Sheet
4-160
Pinout Information
LatticeECP2/M Family Data Sheet
4-161
Pinout Information
LatticeECP2/M Family Data Sheet
4-162
Pinout Information
LatticeECP2/M Family Data Sheet
4-163
Pinout Information
LatticeECP2/M Family Data Sheet
4-164
Pinout Information
LatticeECP2/M Family Data Sheet
4-165
Pinout Information
LatticeECP2/M Family Data Sheet
4-166
Pinout Information
LatticeECP2/M Family Data Sheet
4-167
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-168
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2M35E/SE LFE2M50E/SE
Ball Ball/Pad Ball/Pad
Number Function Bank Dual Function Differential Function Bank Dual Function Differential
C2 PL2A 7 LDQ6 T (LVDS)* PL2A 7 LDQ6 T*
C1 PL2B 7 LDQ6 C (LVDS)* PL2B 7 LDQ6 C*
F6 PL3A 7 LDQ6 T PL3A 7 LDQ6 T
H9 PL3B 7 LDQ6 C PL3B 7 LDQ6 C
D3 PL4A 7 LDQ6 T (LVDS)* PL4A 7 LDQ6 T*
VCCIO VCCIO7 7 VCCIO7 7
D2 PL4B 7 LDQ6 C (LVDS)* PL4B 7 LDQ6 C*
F5 PL5A 7 LDQ6 T PL5A 7 LDQ6 T
H8 PL5B 7 LDQ6 C PL5B 7 LDQ6 C
E3 PL6A 7 LDQS6 T (LVDS)* PL6A 7 LDQS6 T*
GNDIO GNDIO7 - GNDIO7 -
E2 PL6B 7 LDQ6 C (LVDS)* PL6B 7 LDQ6 C*
J9 PL7A 7 LDQ6 T PL7A 7 LDQ6 T
E4 PL7B 7 LDQ6 C PL7B 7 LDQ6 C
VCCIO VCCIO7 7 VCCIO7 7
E1 PL8A 7 LDQ6 T (LVDS)* PL8A 7 LDQ6 T*
D1 PL8B 7 LDQ6 C (LVDS)* PL8B 7 LDQ6 C*
J8 PL9A 7 VREF2_7/LDQ6 T PL9A 7 VREF2_7/LDQ6 T
F4 PL9B 7 VREF1_7/LDQ6 C PL9B 7 VREF1_7/LDQ6 C
GNDIO GNDIO7 - GNDIO7 -
- - - VCCIO7 7
F3 PL11A 7 LUM0_SPLLT_IN_A/LDQ15 T (LVDS)* PL11A 7 LUM0_SPLLT_IN_A T*
F1 PL11B 7 LUM0_SPLLC_IN_A/LDQ15 C (LVDS)* PL11B 7 LUM0_SPLLC_IN_A C*
G6 PL12A 7 LUM0_SPLLT_FB_A/LDQ15 T PL12A 7 LUM0_SPLLT_FB_A T
K9 PL12B 7 LUM0_SPLLC_FB_A/LDQ15 C PL12B 7 LUM0_SPLLC_FB_A C
- - - GNDIO7 -
G5 PL13A 7 LDQ15 T (LVDS)* PL13A 7 T*
VCCIO VCCIO7 7 - -
G4 PL13B 7 LDQ15 C (LVDS)* PL13B 7 C*
H5 PL14A 7 LDQ15 T PL14A 7 T
- - - VCCIO7 7
H6 PL14B 7 LDQ15 C PL14B 7 C
GNDIO GNDIO7 - GNDIO7 -
J7 PL16A 7 LDQ15 T PL19A 7 T
H4 PL16B 7 LDQ15 C PL19B 7 C
H3 PL17A 7 LDQ15 T (LVDS)* PL20A 7 T*
VCCIO VCCIO7 7 VCCIO7 7
G3 PL17B 7 LDQ15 C (LVDS)* PL20B 7 C*
GNDIO GNDIO7 - GNDIO7 -
G1 PL19A 7 LDQ23 T (LVDS)* PL23A 7 LDQ27 T*
H1 PL19B 7 LDQ23 C (LVDS)* PL23B 7 LDQ27 C*
J3 PL20A 7 LDQ23 T PL24A 7 LDQ27 T
J4 PL20B 7 LDQ23 C PL24B 7 LDQ27 C
VCCIO VCCIO7 7 VCCIO7 7
H2 PL21A 7 LDQ23 T (LVDS)* PL25A 7 LDQ27 T*
J2 PL21B 7 LDQ23 C (LVDS)* PL25B 7 LDQ27 C*
K7 PL22A 7 LDQ23 T PL26A 7 LDQ27 T
J6 PL22B 7 LDQ23 C PL26B 7 LDQ27 C
4-169
Pinout Information
LatticeECP2/M Family Data Sheet
4-170
Pinout Information
LatticeECP2/M Family Data Sheet
4-171
Pinout Information
LatticeECP2/M Family Data Sheet
4-172
Pinout Information
LatticeECP2/M Family Data Sheet
4-173
Pinout Information
LatticeECP2/M Family Data Sheet
4-174
Pinout Information
LatticeECP2/M Family Data Sheet
4-175
Pinout Information
LatticeECP2/M Family Data Sheet
4-176
Pinout Information
LatticeECP2/M Family Data Sheet
4-177
Pinout Information
LatticeECP2/M Family Data Sheet
4-178
Pinout Information
LatticeECP2/M Family Data Sheet
4-179
Pinout Information
LatticeECP2/M Family Data Sheet
4-180
Pinout Information
LatticeECP2/M Family Data Sheet
4-181
Pinout Information
LatticeECP2/M Family Data Sheet
4-182
Pinout Information
LatticeECP2/M Family Data Sheet
4-183
Pinout Information
LatticeECP2/M Family Data Sheet
4-184
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-185
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2M50E/SE LFE2M70E/SE
Ball Ball/Pad Ball/Pad
Number Function Bank Dual Function Differential Function Bank Dual Function Differential
D2 PL9A 7 VREF2_7/LDQ6 T PL9A 7 VREF2_7 T
D3 PL9B 7 VREF1_7/LDQ6 C PL9B 7 VREF1_7 C
GNDIO GNDIO7 - GNDIO7 -
J8 PL11A 7 LUM0_SPLLT_IN_A T (LVDS)* PL11A 7 LUM0_SPLLT_IN_A/LDQ15 T (LVDS)*
H7 PL11B 7 LUM0_SPLLC_IN_A C (LVDS)* PL11B 7 LUM0_SPLLC_IN_A/LDQ15 C (LVDS)*
E3 PL12A 7 LUM0_SPLLT_FB_A T PL12A 7 LUM0_SPLLT_FB_A/LDQ15 T
E4 PL12B 7 LUM0_SPLLC_FB_A C PL12B 7 LUM0_SPLLC_FB_A/LDQ15 C
GNDIO GNDIO7 - - -
G6 PL13A 7 T (LVDS)* PL13A 7 LDQ15 T (LVDS)*
F5 PL13B 7 C (LVDS)* PL13B 7 LDQ15 C (LVDS)*
E2 PL14A 7 T PL14A 7 LDQ15 T
D1 PL14B 7 C PL14B 7 LDQ15 C
- - - GNDIO7 -
G5 NC - PL15A 7 LDQS15 T (LVDS)*
G4 NC - PL15B 7 LDQ15 C (LVDS)*
K7 NC - PL16A 7 LDQ15 T
K8 NC - PL16B 7 LDQ15 C
E1 NC - PL17A 7 LDQ15 T (LVDS)*
F2 NC - PL17B 7 LDQ15 C (LVDS)*
F1 NC - PL18A 7 LDQ15 T
- - - GNDIO7 -
G3 NC - PL18B 7 LDQ15 C
H5 PL15A 7 T (LVDS)* PL21A 7 T (LVDS)*
H4 PL15B 7 C (LVDS)* PL21B 7 C (LVDS)*
J5 PL16A 7 T PL22A 7 T
J4 PL16B 7 C PL22B 7 C
GNDIO GNDIO7 - GNDIO7 -
G2 NC - PL24A 7 LDQ28 T (LVDS)*
G1 NC - PL24B 7 LDQ28 C (LVDS)*
L9 NC - PL25A 7 LDQ28 T
L7 NC - PL25B 7 LDQ28 C
K6 NC - PL26A 7 LDQ28 T (LVDS)*
K5 NC - PL26B 7 LDQ28 C (LVDS)*
L8 NC - PL27A 7 LDQ28 T
L6 NC - PL27B 7 LDQ28 C
- - - GNDIO7 -
H3 PL18A 7 T (LVDS)* PL28A 7 LDQS28 T (LVDS)*
H2 PL18B 7 C (LVDS)* PL28B 7 LDQ28 C (LVDS)*
N8 PL19A 7 T PL29A 7 LDQ28 T
M9 PL19B 7 C PL29B 7 LDQ28 C
J3 PL20A 7 T (LVDS)* PL30A 7 LDQ28 T (LVDS)*
VCCIO VCCIO7 7 - -
J2 PL20B 7 C (LVDS)* PL30B 7 LDQ28 C (LVDS)*
H1 PL21A 7 T PL31A 7 LDQ28 T
GNDIO GNDIO7 - GNDIO7 -
J1 PL21B 7 C PL31B 7 LDQ28 C
- - - - -
- - - - -
4-186
Pinout Information
LatticeECP2/M Family Data Sheet
4-187
Pinout Information
LatticeECP2/M Family Data Sheet
4-188
Pinout Information
LatticeECP2/M Family Data Sheet
4-189
Pinout Information
LatticeECP2/M Family Data Sheet
4-190
Pinout Information
LatticeECP2/M Family Data Sheet
4-191
Pinout Information
LatticeECP2/M Family Data Sheet
4-192
Pinout Information
LatticeECP2/M Family Data Sheet
4-193
Pinout Information
LatticeECP2/M Family Data Sheet
4-194
Pinout Information
LatticeECP2/M Family Data Sheet
4-195
Pinout Information
LatticeECP2/M Family Data Sheet
4-196
Pinout Information
LatticeECP2/M Family Data Sheet
4-197
Pinout Information
LatticeECP2/M Family Data Sheet
4-198
Pinout Information
LatticeECP2/M Family Data Sheet
4-199
Pinout Information
LatticeECP2/M Family Data Sheet
4-200
Pinout Information
LatticeECP2/M Family Data Sheet
4-201
Pinout Information
LatticeECP2/M Family Data Sheet
4-202
Pinout Information
LatticeECP2/M Family Data Sheet
4-203
Pinout Information
LatticeECP2/M Family Data Sheet
4-204
Pinout Information
LatticeECP2/M Family Data Sheet
4-205
Pinout Information
LatticeECP2/M Family Data Sheet
4-206
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one con-
nection with a package ball or pin.
4-207
Pinout Information
LatticeECP2/M Family Data Sheet
4-208
Pinout Information
LatticeECP2/M Family Data Sheet
4-209
Pinout Information
LatticeECP2/M Family Data Sheet
4-210
Pinout Information
LatticeECP2/M Family Data Sheet
4-211
Pinout Information
LatticeECP2/M Family Data Sheet
4-212
Pinout Information
LatticeECP2/M Family Data Sheet
4-213
Pinout Information
LatticeECP2/M Family Data Sheet
4-214
Pinout Information
LatticeECP2/M Family Data Sheet
4-215
Pinout Information
LatticeECP2/M Family Data Sheet
4-216
Pinout Information
LatticeECP2/M Family Data Sheet
4-217
Pinout Information
LatticeECP2/M Family Data Sheet
4-218
Pinout Information
LatticeECP2/M Family Data Sheet
4-219
Pinout Information
LatticeECP2/M Family Data Sheet
4-220
Pinout Information
LatticeECP2/M Family Data Sheet
4-221
Pinout Information
LatticeECP2/M Family Data Sheet
4-222
Pinout Information
LatticeECP2/M Family Data Sheet
4-223
Pinout Information
LatticeECP2/M Family Data Sheet
4-224
Pinout Information
LatticeECP2/M Family Data Sheet
4-225
Pinout Information
LatticeECP2/M Family Data Sheet
4-226
Pinout Information
LatticeECP2/M Family Data Sheet
4-227
Pinout Information
LatticeECP2/M Family Data Sheet
4-228
Pinout Information
LatticeECP2/M Family Data Sheet
4-229
Pinout Information
LatticeECP2/M Family Data Sheet
4-230
Pinout Information
LatticeECP2/M Family Data Sheet
4-231
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-232
Pinout Information
LatticeECP2/M Family Data Sheet
LFE2M70E/SE LFE2M100E/SE
Ball Ball/Pad Ball/Pad
Number Function Bank Dual Function Differential Function Bank Dual Function Differential
VCCIO VCCIO7 7 VCCIO7 7
F4 PL9A 7 VREF2_7 T PL9A 7 VREF2_7 T
F3 PL9B 7 VREF1_7 C PL9B 7 VREF1_7 C
GNDIO GNDIO7 - GNDIO7 -
E1 PL11A 7 LUM0_SPLLT_IN_A/LDQ15 T (LVDS)* PL11A 7 LUM0_SPLLT_IN_A/LDQ15 T (LVDS)*
E2 PL11B 7 LUM0_SPLLC_IN_A/LDQ15 C (LVDS)* PL11B 7 LUM0_SPLLC_IN_A/LDQ15 C (LVDS)*
K9 PL12A 7 LUM0_SPLLT_FB_A/LDQ15 T PL12A 7 LUM0_SPLLT_FB_A/LDQ15 T
H7 PL12B 7 LUM0_SPLLC_FB_A/LDQ15 C PL12B 7 LUM0_SPLLC_FB_A/LDQ15 C
VCCIO VCCIO7 7 VCCIO7 7
F1 PL13A 7 LDQ15 T (LVDS)* PL13A 7 LDQ15 T (LVDS)*
F2 PL13B 7 LDQ15 C (LVDS)* PL13B 7 LDQ15 C (LVDS)*
J8 PL14A 7 LDQ15 T PL14A 7 LDQ15 T
H6 PL14B 7 LDQ15 C PL14B 7 LDQ15 C
GNDIO GNDIO7 - GNDIO7 -
G2 PL15A 7 LDQS15 T (LVDS)* PL15A 7 LDQS15 T (LVDS)*
G1 PL15B 7 LDQ15 C (LVDS)* PL15B 7 LDQ15 C (LVDS)*
J7 PL16A 7 LDQ15 T PL16A 7 LDQ15 T
VCCIO VCCIO7 7 VCCIO7 7
L8 PL16B 7 LDQ15 C PL16B 7 LDQ15 C
L9 PL17A 7 LDQ15 T (LVDS)* PL17A 7 LDQ15 T (LVDS)*
L10 PL17B 7 LDQ15 C (LVDS)* PL17B 7 LDQ15 C (LVDS)*
H5 PL18A 7 LDQ15 T PL18A 7 LDQ15 T
GNDIO GNDIO7 - GNDIO7 -
J6 PL18B 7 LDQ15 C PL18B 7 LDQ15 C
H2 NC - PL19A 7 LDQ23 T (LVDS)*
H1 NC - PL19B 7 LDQ23 C (LVDS)*
G5 NC - PL20A 7 LDQ23 T
G6 NC - PL20B 7 LDQ23 C
M9 NC - PL21A 7 LDQ23 T (LVDS)*
- - - VCCIO7 7
M10 NC - PL21B 7 LDQ23 C (LVDS)*
H3 NC - PL22A 7 LDQ23 T
H4 NC - PL22B 7 LDQ23 C
J2 PL19A 7 T (LVDS)* PL23A 7 LDQS23 T (LVDS)*
- - - GNDIO7 -
J1 PL19B 7 C (LVDS)* PL23B 7 LDQ23 C (LVDS)*
K2 PL20A 7 T PL24A 7 LDQ23 T
K1 PL20B 7 C PL24B 7 LDQ23 C
VCCIO VCCIO7 7 VCCIO7 7
J4 PL21A 7 T (LVDS)* PL25A 7 LDQ23 T (LVDS)*
J3 PL21B 7 C (LVDS)* PL25B 7 LDQ23 C (LVDS)*
J5 PL22A 7 T PL26A 7 LDQ23 T
K5 PL22B 7 C PL26B 7 LDQ23 C
GNDIO GNDIO7 - GNDIO7 -
L2 PL24A 7 LDQ28 T (LVDS)* PL28A 7 LDQ32 T (LVDS)*
L1 PL24B 7 LDQ28 C (LVDS)* PL28B 7 LDQ32 C (LVDS)*
L7 PL25A 7 LDQ28 T PL29A 7 LDQ32 T
K6 PL25B 7 LDQ28 C PL29B 7 LDQ32 C
VCCIO VCCIO7 7 VCCIO7 7
4-233
Pinout Information
LatticeECP2/M Family Data Sheet
4-234
Pinout Information
LatticeECP2/M Family Data Sheet
4-235
Pinout Information
LatticeECP2/M Family Data Sheet
4-236
Pinout Information
LatticeECP2/M Family Data Sheet
4-237
Pinout Information
LatticeECP2/M Family Data Sheet
4-238
Pinout Information
LatticeECP2/M Family Data Sheet
4-239
Pinout Information
LatticeECP2/M Family Data Sheet
4-240
Pinout Information
LatticeECP2/M Family Data Sheet
4-241
Pinout Information
LatticeECP2/M Family Data Sheet
4-242
Pinout Information
LatticeECP2/M Family Data Sheet
4-243
Pinout Information
LatticeECP2/M Family Data Sheet
4-244
Pinout Information
LatticeECP2/M Family Data Sheet
4-245
Pinout Information
LatticeECP2/M Family Data Sheet
4-246
Pinout Information
LatticeECP2/M Family Data Sheet
4-247
Pinout Information
LatticeECP2/M Family Data Sheet
4-248
Pinout Information
LatticeECP2/M Family Data Sheet
4-249
Pinout Information
LatticeECP2/M Family Data Sheet
4-250
Pinout Information
LatticeECP2/M Family Data Sheet
4-251
Pinout Information
LatticeECP2/M Family Data Sheet
4-252
Pinout Information
LatticeECP2/M Family Data Sheet
4-253
Pinout Information
LatticeECP2/M Family Data Sheet
4-254
Pinout Information
LatticeECP2/M Family Data Sheet
4-255
Pinout Information
LatticeECP2/M Family Data Sheet
4-256
Pinout Information
LatticeECP2/M Family Data Sheet
4-257
Pinout Information
LatticeECP2/M Family Data Sheet
4-258
Pinout Information
LatticeECP2/M Family Data Sheet
Note: VCCIO and GND pads are used to determine the average DC current drawn by I/Os between GND/VCCIO connections, or between the
last GND/VCCIO in an I/O bank and the end of an I/O bank. The substrate pads listed in the Pin Table do not necessarily have a one to one
connection with a package ball or pin.
4-259
LatticeECP2/M Family Data Sheet
Ordering Information
July 2012 Data Sheet DS1006
Ordering Information
Note:þLatticeECP2 devices are dual marked. For example, the commercial speed grade LFE2-50E-7F672C is also
marked with industrial grade -6I (LFE2-50E-6F672I). The commercial grade is one speed grade faster than the
associated dual mark industrial grade. The slowest commercial speed grade does not have industrial markings.
The markings appear as follows:
LFE2-50E LFE2-50SE
7F672C-6I 7F672C-6I
Datecode Datecode
© 2012 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand
or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice.
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-12E-5T144C 93 1.2V -5 TQFP 144 COM 12
LFE2-12E-6T144C 93 1.2V -6 TQFP 144 COM 12
LFE2-12E-7T144C 93 1.2V -7 TQFP 144 COM 12
LFE2-12E-5Q208C 131 1.2V -5 PQFP 208 COM 12
LFE2-12E-6Q208C 131 1.2V -6 PQFP 208 COM 12
LFE2-12E-7Q208C 131 1.2V -7 PQFP 208 COM 12
LFE2-12E-5F256C 193 1.2V -5 fpBGA 256 COM 12
LFE2-12E-6F256C 193 1.2V -6 fpBGA 256 COM 12
LFE2-12E-7F256C 193 1.2V -7 fpBGA 256 COM 12
LFE2-12E-5F484C 297 1.2V -5 fpBGA 484 COM 12
LFE2-12E-6F484C 297 1.2V -6 fpBGA 484 COM 12
LFE2-12E-7F484C 297 1.2V -7 fpBGA 484 COM 12
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-20E-5Q208C 131 1.2V -5 PQFP 208 COM 20
LFE2-20E-6Q208C 131 1.2V -6 PQFP 208 COM 20
LFE2-20E-7Q208C 131 1.2V -7 PQFP 208 COM 20
LFE2-20E-5F256C 193 1.2V -5 fpBGA 256 COM 20
LFE2-20E-6F256C 193 1.2V -6 fpBGA 256 COM 20
LFE2-20E-7F256C 193 1.2V -7 fpBGA 256 COM 20
LFE2-20E-5F484C 331 1.2V -5 fpBGA 484 COM 20
LFE2-20E-6F484C 331 1.2V -6 fpBGA 484 COM 20
LFE2-20E-7F484C 331 1.2V -7 fpBGA 484 COM 20
LFE2-20E-5F672C 402 1.2V -5 fpBGA 672 COM 20
LFE2-20E-6F672C 402 1.2V -6 fpBGA 672 COM 20
LFE2-20E-7F672C 402 1.2V -7 fpBGA 672 COM 20
5-2
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-35E-5F484C 331 1.2V -5 fpBGA 484 COM 35
LFE2-35E-6F484C 331 1.2V -6 fpBGA 484 COM 35
LFE2-35E-7F484C 331 1.2V -7 fpBGA 484 COM 35
LFE2-35E-5F672C 450 1.2V -5 fpBGA 672 COM 35
LFE2-35E-6F672C 450 1.2V -6 fpBGA 672 COM 35
LFE2-35E-7F672C 450 1.2V -7 fpBGA 672 COM 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-50E-5F484C 339 1.2V -5 fpBGA 484 COM 50
LFE2-50E-6F484C 339 1.2V -6 fpBGA 484 COM 50
LFE2-50E-7F484C 339 1.2V -7 fpBGA 484 COM 50
LFE2-50E-5F672C 500 1.2V -5 fpBGA 672 COM 50
LFE2-50E-6F672C 500 1.2V -6 fpBGA 672 COM 50
LFE2-50E-7F672C 500 1.2V -7 fpBGA 672 COM 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-70E-5F672C 500 1.2V -5 fpBGA 672 COM 70
LFE2-70E-6F672C 500 1.2V -6 fpBGA 672 COM 70
LFE2-70E-7F672C 500 1.2V -7 fpBGA 672 COM 70
LFE2-70E-5F900C 583 1.2V -5 fpBGA 900 COM 70
LFE2-70E-6F900C 583 1.2V -6 fpBGA 900 COM 70
LFE2-70E-7F900C 583 1.2V -7 fpBGA 900 COM 70
Industrial
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-6E-5T144I 90 1.2V -5 TQFP 144 IND 6
LFE2-6E-6T144I 90 1.2V -6 TQFP 144 IND 6
LFE2-6E-5F256I 190 1.2V -5 fpBGA 256 IND 6
LFE2-6E-6F256I 190 1.2V -6 fpBGA 256 IND 6
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-12E-5T144I 93 1.2V -5 TQFP 144 IND 12
LFE2-12E-6T144I 93 1.2V -6 TQFP 144 IND 12
LFE2-12E-5Q208I 131 1.2V -5 PQFP 208 IND 12
LFE2-12E-6Q208I 131 1.2V -6 PQFP 208 IND 12
LFE2-12E-5F256I 193 1.2V -5 fpBGA 256 IND 12
LFE2-12E-6F256I 193 1.2V -6 fpBGA 256 IND 12
LFE2-12E-5F484I 297 1.2V -5 fpBGA 484 IND 12
LFE2-12E-6F484I 297 1.2V -6 fpBGA 484 IND 12
5-3
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-20E-5Q208I 131 1.2V -5 PQFP 208 IND 20
LFE2-20E-6Q208I 131 1.2V -6 PQFP 208 IND 20
LFE2-20E-5F256I 193 1.2V -5 fpBGA 256 IND 20
LFE2-20E-6F256I 193 1.2V -6 fpBGA 256 IND 20
LFE2-20E-5F484I 331 1.2V -5 fpBGA 484 IND 20
LFE2-20E-6F484I 331 1.2V -6 fpBGA 484 IND 20
LFE2-20E-5F672I 402 1.2V -5 fpBGA 672 IND 20
LFE2-20E-6F672I 402 1.2V -6 fpBGA 672 IND 20
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-35E-5F484I 331 1.2V -5 fpBGA 484 IND 35
LFE2-35E-6F484I 331 1.2V -6 fpBGA 484 IND 35
LFE2-35E-5F672I 450 1.2V -5 fpBGA 672 IND 35
LFE2-35E-6F672I 450 1.2V -6 fpBGA 672 IND 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-50E-5F484I 339 1.2V -5 fpBGA 484 IND 50
LFE2-50E-6F484I 339 1.2V -6 fpBGA 484 IND 50
LFE2-50E-5F672I 500 1.2V -5 fpBGA 672 IND 50
LFE2-50E-6F672I 500 1.2V -6 fpBGA 672 IND 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-70E-5F672I 500 1.2V -5 fpBGA 672 IND 70
LFE2-70E-6F672I 500 1.2V -6 fpBGA 672 IND 70
LFE2-70E-5F900I 583 1.2V -5 fpBGA 900 IND 70
LFE2-70E-6F900I 583 1.2V -6 fpBGA 900 IND 70
5-4
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-12E-5TN144C 93 1.2V -5 Lead-Free TQFP 144 COM 12
LFE2-12E-6TN144C 93 1.2V -6 Lead-Free TQFP 144 COM 12
LFE2-12E-7TN144C 93 1.2V -7 Lead-Free TQFP 144 COM 12
LFE2-12E-5QN208C 131 1.2V -5 Lead-Free PQFP 208 COM 12
LFE2-12E-6QN208C 131 1.2V -6 Lead-Free PQFP 208 COM 12
LFE2-12E-7QN208C 131 1.2V -7 Lead-Free PQFP 208 COM 12
LFE2-12E-5FN256C 193 1.2V -5 Lead-Free fpBGA 256 COM 12
LFE2-12E-6FN256C 193 1.2V -6 Lead-Free fpBGA 256 COM 12
LFE2-12E-7FN256C 193 1.2V -7 Lead-Free fpBGA 256 COM 12
LFE2-12E-5FN484C 297 1.2V -5 Lead-Free fpBGA 484 COM 12
LFE2-12E-6FN484C 297 1.2V -6 Lead-Free fpBGA 484 COM 12
LFE2-12E-7FN484C 297 1.2V -7 Lead-Free fpBGA 484 COM 12
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-20E-5QN208C 131 1.2V -5 Lead-Free PQFP 208 COM 20
LFE2-20E-6QN208C 131 1.2V -6 Lead-Free PQFP 208 COM 20
LFE2-20E-7QN208C 131 1.2V -7 Lead-Free PQFP 208 COM 20
LFE2-20E-5FN256C 193 1.2V -5 Lead-Free fpBGA 256 COM 20
LFE2-20E-6FN256C 193 1.2V -6 Lead-Free fpBGA 256 COM 20
LFE2-20E-7FN256C 193 1.2V -7 Lead-Free fpBGA 256 COM 20
LFE2-20E-5FN484C 331 1.2V -5 Lead-Free fpBGA 484 COM 20
LFE2-20E-6FN484C 331 1.2V -6 Lead-Free fpBGA 484 COM 20
LFE2-20E-7FN484C 331 1.2V -7 Lead-Free fpBGA 484 COM 20
LFE2-20E-5FN672C 402 1.2V -5 Lead-Free fpBGA 672 COM 20
LFE2-20E-6FN672C 402 1.2V -6 Lead-Free fpBGA 672 COM 20
LFE2-20E-7FN672C 402 1.2V -7 Lead-Free fpBGA 672 COM 20
5-5
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-35E-5FN484C 331 1.2V -5 Lead-Free fpBGA 484 COM 35
LFE2-35E-6FN484C 331 1.2V -6 Lead-Free fpBGA 484 COM 35
LFE2-35E-7FN484C 331 1.2V -7 Lead-Free fpBGA 484 COM 35
LFE2-35E-5FN672C 450 1.2V -5 Lead-Free fpBGA 672 COM 35
LFE2-35E-6FN672C 450 1.2V -6 Lead-Free fpBGA 672 COM 35
LFE2-35E-7FN672C 450 1.2V -7 Lead-Free fpBGA 672 COM 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-50E-5FN484C 339 1.2V -5 Lead-Free fpBGA 484 COM 50
LFE2-50E-6FN484C 339 1.2V -6 Lead-Free fpBGA 484 COM 50
LFE2-50E-7FN484C 339 1.2V -7 Lead-Free fpBGA 484 COM 50
LFE2-50E-5FN672C 500 1.2V -5 Lead-Free fpBGA 672 COM 50
LFE2-50E-6FN672C 500 1.2V -6 Lead-Free fpBGA 672 COM 50
LFE2-50E-7FN672C 500 1.2V -7 Lead-Free fpBGA 672 COM 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-70E-5FN672C 500 1.2V -5 Lead-Free fpBGA 672 COM 70
LFE2-70E-6FN672C 500 1.2V -6 Lead-Free fpBGA 672 COM 70
LFE2-70E-7FN672C 500 1.2V -7 Lead-Free fpBGA 672 COM 70
LFE2-70E-5FN900C 583 1.2V -5 Lead-Free fpBGA 900 COM 70
LFE2-70E-6FN900C 583 1.2V -6 Lead-Free fpBGA 900 COM 70
LFE2-70E-7FN900C 583 1.2V -7 Lead-Free fpBGA 900 COM 70
Industrial
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-6E-5TN144I 90 1.2V -5 Lead-Free TQFP 144 IND 6
LFE2-6E-6TN144I 90 1.2V -6 Lead-Free TQFP 144 IND 6
LFE2-6E-5FN256I 190 1.2V -5 Lead-Free fpBGA 256 IND 6
LFE2-6E-6FN256I 190 1.2V -6 Lead-Free fpBGA 256 IND 6
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-12E-5TN144I 93 1.2V -5 Lead-Free TQFP 144 IND 12
LFE2-12E-6TN144I 93 1.2V -6 Lead-Free TQFP 144 IND 12
LFE2-12E-5QN208I 131 1.2V -5 Lead-Free PQFP 208 IND 12
LFE2-12E-6QN208I 131 1.2V -6 Lead-Free PQFP 208 IND 12
LFE2-12E-5FN256I 193 1.2V -5 Lead-Free fpBGA 256 IND 12
LFE2-12E-6FN256I 193 1.2V -6 Lead-Free fpBGA 256 IND 12
LFE2-12E-5FN484I 297 1.2V -5 Lead-Free fpBGA 484 IND 12
LFE2-12E-6FN484I 297 1.2V -6 Lead-Free fpBGA 484 IND 12
5-6
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-20E-5QN208I 131 1.2V -5 Lead-Free PQFP 208 IND 20
LFE2-20E-6QN208I 131 1.2V -6 Lead-Free PQFP 208 IND 20
LFE2-20E-5FN256I 193 1.2V -5 Lead-Free fpBGA 256 IND 20
LFE2-20E-6FN256I 193 1.2V -6 Lead-Free fpBGA 256 IND 20
LFE2-20E-5FN484I 331 1.2V -5 Lead-Free fpBGA 484 IND 20
LFE2-20E-6FN484I 331 1.2V -6 Lead-Free fpBGA 484 IND 20
LFE2-20E-5FN672I 402 1.2V -5 Lead-Free fpBGA 672 IND 20
LFE2-20E-6FN672I 402 1.2V -6 Lead-Free fpBGA 672 IND 20
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-35E-5FN484I 331 1.2V -5 Lead-Free fpBGA 484 IND 35
LFE2-35E-6FN484I 331 1.2V -6 Lead-Free fpBGA 484 IND 35
LFE2-35E-5FN672I 450 1.2V -5 Lead-Free fpBGA 672 IND 35
LFE2-35E-6FN672I 450 1.2V -6 Lead-Free fpBGA 672 IND 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-50E-5FN484I 339 1.2V -5 Lead-Free fpBGA 484 IND 50
LFE2-50E-6FN484I 339 1.2V -6 Lead-Free fpBGA 484 IND 50
LFE2-50E-5FN672I 500 1.2V -5 Lead-Free fpBGA 672 IND 50
LFE2-50E-6FN672I 500 1.2V -6 Lead-Free fpBGA 672 IND 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-70E-5FN672I 500 1.2V -5 Lead-Free fpBGA 672 IND 70
LFE2-70E-6FN672I 500 1.2V -6 Lead-Free fpBGA 672 IND 70
LFE2-70E-5FN900I 583 1.2V -5 Lead-Free fpBGA 900 IND 70
LFE2-70E-6FN900I 583 1.2V -6 Lead-Free fpBGA 900 IND 70
5-7
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-12SE-5T144C 93 1.2V -5 TQFP 144 Com 12
LFE2-12SE-6T144C 93 1.2V -6 TQFP 144 Com 12
LFE2-12SE-7T144C 93 1.2V -7 TQFP 144 Com 12
LFE2-12SE-5Q208C 131 1.2V -5 PQFP 208 Com 12
LFE2-12SE-6Q208C 131 1.2V -6 PQFP 208 Com 12
LFE2-12SE-7Q208C 131 1.2V -7 PQFP 208 Com 12
LFE2-12SE-5F256C 193 1.2V -5 fpBGA 256 Com 12
LFE2-12SE-6F256C 193 1.2V -6 fpBGA 256 Com 12
LFE2-12SE-7F256C 193 1.2V -7 fpBGA 256 Com 12
LFE2-12SE-5F484C 297 1.2V -5 fpBGA 484 Com 12
LFE2-12SE-6F484C 297 1.2V -6 fpBGA 484 Com 12
LFE2-12SE-7F484C 297 1.2V -7 fpBGA 484 Com 12
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-20SE-5Q208C 131 1.2V -5 PQFP 208 Com 20
LFE2-20SE-6Q208C 131 1.2V -6 PQFP 208 Com 20
LFE2-20SE-7Q208C 131 1.2V -7 PQFP 208 Com 20
LFE2-20SE-5F256C 193 1.2V -5 fpBGA 256 Com 20
LFE2-20SE-6F256C 193 1.2V -6 fpBGA 256 Com 20
LFE2-20SE-7F256C 193 1.2V -7 fpBGA 256 Com 20
LFE2-20SE-5F484C 331 1.2V -5 fpBGA 484 Com 20
LFE2-20SE-6F484C 331 1.2V -6 fpBGA 484 Com 20
LFE2-20SE-7F484C 331 1.2V -7 fpBGA 484 Com 20
LFE2-20SE-5F672C 402 1.2V -5 fpBGA 672 Com 20
LFE2-20SE-6F672C 402 1.2V -6 fpBGA 672 Com 20
LFE2-20SE-7F672C 402 1.2V -7 fpBGA 672 Com 20
5-8
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-35SE-5F484C 331 1.2V -5 fpBGA 484 Com 35
LFE2-35SE-6F484C 331 1.2V -6 fpBGA 484 Com 35
LFE2-35SE-7F484C 331 1.2V -7 fpBGA 484 Com 35
LFE2-35SE-5F672C 450 1.2V -5 fpBGA 672 Com 35
LFE2-35SE-6F672C 450 1.2V -6 fpBGA 672 Com 35
LFE2-35SE-7F672C 450 1.2V -7 fpBGA 672 Com 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-50SE-5F484C 339 1.2V -5 fpBGA 484 Com 50
LFE2-50SE-6F484C 339 1.2V -6 fpBGA 484 Com 50
LFE2-50SE-7F484C 339 1.2V -7 fpBGA 484 Com 50
LFE2-50SE-5F672C 500 1.2V -5 fpBGA 672 Com 50
LFE2-50SE-6F672C 500 1.2V -6 fpBGA 672 Com 50
LFE2-50SE-7F672C 500 1.2V -7 fpBGA 672 Com 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-70SE-5F672C 500 1.2V -5 fpBGA 672 Com 70
LFE2-70SE-6F672C 500 1.2V -6 fpBGA 672 Com 70
LFE2-70SE-7F672C 500 1.2V -7 fpBGA 672 Com 70
LFE2-70SE-5F900C 583 1.2V -5 fpBGA 900 Com 70
LFE2-70SE-6F900C 583 1.2V -6 fpBGA 900 Com 70
LFE2-70SE-7F900C 583 1.2V -7 fpBGA 900 Com 70
Industrial
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-6SE-5T144I 90 1.2V -5 TQFP 144 Ind 6
LFE2-6SE-6T144I 90 1.2V -6 TQFP 144 Ind 6
LFE2-6SE-5F256I 190 1.2V -5 fpBGA 256 Ind 6
LFE2-6SE-6F256I 190 1.2V -6 fpBGA 256 Ind 6
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-12SE-5T144I 93 1.2V -5 TQFP 144 Ind 12
LFE2-12SE-6T144I 93 1.2V -6 TQFP 144 Ind 12
LFE2-12SE-5Q208I 131 1.2V -5 PQFP 208 Ind 12
LFE2-12SE-6Q208I 131 1.2V -6 PQFP 208 Ind 12
LFE2-12SE-5F256I 193 1.2V -5 fpBGA 256 Ind 12
LFE2-12SE-6F256I 193 1.2V -6 fpBGA 256 Ind 12
LFE2-12SE-5F484I 297 1.2V -5 fpBGA 484 Ind 12
LFE2-12SE-6F484I 297 1.2V -6 fpBGA 484 Ind 12
5-9
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-20SE-5Q208I 131 1.2V -5 PQFP 208 Ind 20
LFE2-20SE-6Q208I 131 1.2V -6 PQFP 208 Ind 20
LFE2-20SE-5F256I 193 1.2V -5 fpBGA 256 Ind 20
LFE2-20SE-6F256I 193 1.2V -6 fpBGA 256 Ind 20
LFE2-20SE-5F484I 331 1.2V -5 fpBGA 484 Ind 20
LFE2-20SE-6F484I 331 1.2V -6 fpBGA 484 Ind 20
LFE2-20SE-5F672I 402 1.2V -5 fpBGA 672 Ind 20
LFE2-20SE-6F672I 402 1.2V -6 fpBGA 672 Ind 20
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-35SE-5F484I 331 1.2V -5 fpBGA 484 Ind 35
LFE2-35SE-6F484I 331 1.2V -6 fpBGA 484 Ind 35
LFE2-35SE-5F672I 450 1.2V -5 fpBGA 672 Ind 35
LFE2-35SE-6F672I 450 1.2V -6 fpBGA 672 Ind 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-50SE-5F484I 339 1.2V -5 fpBGA 484 Ind 50
LFE2-50SE-6F484I 339 1.2V -6 fpBGA 484 Ind 50
LFE2-50SE-5F672I 500 1.2V -5 fpBGA 672 Ind 50
LFE2-50SE-6F672I 500 1.2V -6 fpBGA 672 Ind 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-70SE-5F672I 500 1.2V -5 fpBGA 672 Ind 70
LFE2-70SE-6F672I 500 1.2V -6 fpBGA 672 Ind 70
LFE2-70SE-5F900I 583 1.2V -5 fpBGA 900 Ind 70
LFE2-70SE-6F900I 583 1.2V -6 fpBGA 900 Ind 70
5-10
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-12SE-5TN144C 93 1.2V -5 Lead-Free TQFP 144 Com 12
LFE2-12SE-6TN144C 93 1.2V -6 Lead-Free TQFP 144 Com 12
LFE2-12SE-7TN144C 93 1.2V -7 Lead-Free TQFP 144 Com 12
LFE2-12SE-5QN208C 131 1.2V -5 Lead-Free PQFP 208 Com 12
LFE2-12SE-6QN208C 131 1.2V -6 Lead-Free PQFP 208 Com 12
LFE2-12SE-7QN208C 131 1.2V -7 Lead-Free PQFP 208 Com 12
LFE2-12SE-5FN256C 193 1.2V -5 Lead-Free fpBGA 256 Com 12
LFE2-12SE-6FN256C 193 1.2V -6 Lead-Free fpBGA 256 Com 12
LFE2-12SE-7FN256C 193 1.2V -7 Lead-Free fpBGA 256 Com 12
LFE2-12SE-5FN484C 297 1.2V -5 Lead-Free fpBGA 484 Com 12
LFE2-12SE-6FN484C 297 1.2V -6 Lead-Free fpBGA 484 Com 12
LFE2-12SE-7FN484C 297 1.2V -7 Lead-Free fpBGA 484 Com 12
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-20SE-5QN208C 131 1.2V -5 Lead-Free PQFP 208 Com 20
LFE2-20SE-6QN208C 131 1.2V -6 Lead-Free PQFP 208 Com 20
LFE2-20SE-7QN208C 131 1.2V -7 Lead-Free PQFP 208 Com 20
LFE2-20SE-5FN256C 193 1.2V -5 Lead-Free fpBGA 256 Com 20
LFE2-20SE-6FN256C 193 1.2V -6 Lead-Free fpBGA 256 Com 20
LFE2-20SE-7FN256C 193 1.2V -7 Lead-Free fpBGA 256 Com 20
LFE2-20SE-5FN484C 331 1.2V -5 Lead-Free fpBGA 484 Com 20
LFE2-20SE-6FN484C 331 1.2V -6 Lead-Free fpBGA 484 Com 20
LFE2-20SE-7FN484C 331 1.2V -7 Lead-Free fpBGA 484 Com 20
LFE2-20SE-5FN672C 402 1.2V -5 Lead-Free fpBGA 672 Com 20
LFE2-20SE-6FN672C 402 1.2V -6 Lead-Free fpBGA 672 Com 20
LFE2-20SE-7FN672C 402 1.2V -7 Lead-Free fpBGA 672 Com 20
5-11
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-35SE-5FN484C 331 1.2V -5 Lead-Free fpBGA 484 Com 35
LFE2-35SE-6FN484C 331 1.2V -6 Lead-Free fpBGA 484 Com 35
LFE2-35SE-7FN484C 331 1.2V -7 Lead-Free fpBGA 484 Com 35
LFE2-35SE-5FN672C 450 1.2V -5 Lead-Free fpBGA 672 Com 35
LFE2-35SE-6FN672C 450 1.2V -6 Lead-Free fpBGA 672 Com 35
LFE2-35SE-7FN672C 450 1.2V -7 Lead-Free fpBGA 672 Com 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-50SE-5FN484C 339 1.2V -5 Lead-Free fpBGA 484 Com 50
LFE2-50SE-6FN484C 339 1.2V -6 Lead-Free fpBGA 484 Com 50
LFE2-50SE-7FN484C 339 1.2V -7 Lead-Free fpBGA 484 Com 50
LFE2-50SE-5FN672C 500 1.2V -5 Lead-Free fpBGA 672 Com 50
LFE2-50SE-6FN672C 500 1.2V -6 Lead-Free fpBGA 672 Com 50
LFE2-50SE-7FN672C 500 1.2V -7 Lead-Free fpBGA 672 Com 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-70SE-5FN672C 500 1.2V -5 Lead-Free fpBGA 672 Com 70
LFE2-70SE-6FN672C 500 1.2V -6 Lead-Free fpBGA 672 Com 70
LFE2-70SE-7FN672C 500 1.2V -7 Lead-Free fpBGA 672 Com 70
LFE2-70SE-5FN900C 583 1.2V -5 Lead-Free fpBGA 900 Com 70
LFE2-70SE-6FN900C 583 1.2V -6 Lead-Free fpBGA 900 Com 70
LFE2-70SE-7FN900C 583 1.2V -7 Lead-Free fpBGA 900 Com 70
Industrial
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-6SE-5TN144I 90 1.2V -5 Lead-Free TQFP 144 Ind 6
LFE2-6SE-6TN144I 90 1.2V -6 Lead-Free TQFP 144 Ind 6
LFE2-6SE-5FN256I 190 1.2V -5 Lead-Free fpBGA 256 Ind 6
LFE2-6SE-6FN256I 190 1.2V -6 Lead-Free fpBGA 256 Ind 6
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-12SE-5TN144I 93 1.2V -5 Lead-Free TQFP 144 Ind 12
LFE2-12SE-6TN144I 93 1.2V -6 Lead-Free TQFP 144 Ind 12
LFE2-12SE-5QN208I 131 1.2V -5 Lead-Free PQFP 208 Ind 12
LFE2-12SE-6QN208I 131 1.2V -6 Lead-Free PQFP 208 Ind 12
LFE2-12SE-5FN256I 193 1.2V -5 Lead-Free fpBGA 256 Ind 12
LFE2-12SE-6FN256I 193 1.2V -6 Lead-Free fpBGA 256 Ind 12
LFE2-12SE-5FN484I 297 1.2V -5 Lead-Free fpBGA 484 Ind 12
LFE2-12SE-6FN484I 297 1.2V -6 Lead-Free fpBGA 484 Ind 12
5-12
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-20SE-5QN208I 131 1.2V -5 Lead-Free PQFP 208 Ind 20
LFE2-20SE-6QN208I 131 1.2V -6 Lead-Free PQFP 208 Ind 20
LFE2-20SE-5FN256I 193 1.2V -5 Lead-Free fpBGA 256 Ind 20
LFE2-20SE-6FN256I 193 1.2V -6 Lead-Free fpBGA 256 Ind 20
LFE2-20SE-5FN484I 331 1.2V -5 Lead-Free fpBGA 484 Ind 20
LFE2-20SE-6FN484I 331 1.2V -6 Lead-Free fpBGA 484 Ind 20
LFE2-20SE-5FN672I 402 1.2V -5 Lead-Free fpBGA 672 Ind 20
LFE2-20SE-6FN672I 402 1.2V -6 Lead-Free fpBGA 672 Ind 20
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-35SE-5FN484I 331 1.2V -5 Lead-Free fpBGA 484 Ind 35
LFE2-35SE-6FN484I 331 1.2V -6 Lead-Free fpBGA 484 Ind 35
LFE2-35SE-5FN672I 450 1.2V -5 Lead-Free fpBGA 672 Ind 35
LFE2-35SE-6FN672I 450 1.2V -6 Lead-Free fpBGA 672 Ind 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-50SE-5FN484I 339 1.2V -5 Lead-Free fpBGA 484 Ind 50
LFE2-50SE-6FN484I 339 1.2V -6 Lead-Free fpBGA 484 Ind 50
LFE2-50SE-5FN672I 500 1.2V -5 Lead-Free fpBGA 672 Ind 50
LFE2-50SE-6FN672I 500 1.2V -6 Lead-Free fpBGA 672 Ind 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2-70SE-5FN672I 500 1.2V -5 Lead-Free fpBGA 672 Ind 70
LFE2-70SE-6FN672I 500 1.2V -6 Lead-Free fpBGA 672 Ind 70
LFE2-70SE-5FN900I 583 1.2V -5 Lead-Free fpBGA 900 Ind 70
LFE2-70SE-6FN900I 583 1.2V -6 Lead-Free fpBGA 900 Ind 70
5-13
Ordering Information
LatticeECP2/M Family Data Sheet
Ordering Information
Note:þLatticeECP2M devices are dual marked. For example, the commercial speed grade LFE2M50E-7F672C is
also marked with industrial grade -6I (LFE2M50E-6F672I). The commercial grade is one speed grade faster than
the associated dual mark industrial grade. The slowest commercial grade does not have industrial markings. The
markings appear as follows:
LFE2M35E LFE2M35SE
7F672C-6I 7F672C-6I
Datecode Datecode
5-14
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M35E-5F672C 410 1.2V -5 fpBGA 672 COM 35
LFE2M35E-6F672C 410 1.2V -6 fpBGA 672 COM 35
LFE2M35E-7F672C 410 1.2V -7 fpBGA 672 COM 35
LFE2M35E-5F484C 303 1.2V -5 fpBGA 484 COM 35
LFE2M35E-6F484C 303 1.2V -6 fpBGA 484 COM 35
LFE2M35E-7F484C 303 1.2V -7 fpBGA 484 COM 35
LFE2M35E-5F256C 140 1.2V -5 fpBGA 256 COM 35
LFE2M35E-6F256C 140 1.2V -6 fpBGA 256 COM 35
LFE2M35E-7F256C 140 1.2V -7 fpBGA 256 COM 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M50E-5F900C 410 1.2V -5 fpBGA 900 COM 50
LFE2M50E-6F900C 410 1.2V -6 fpBGA 900 COM 50
LFE2M50E-7F900C 410 1.2V -7 fpBGA 900 COM 50
LFE2M50E-5F672C 372 1.2V -5 fpBGA 672 COM 50
LFE2M50E-6F672C 372 1.2V -6 fpBGA 672 COM 50
LFE2M50E-7F672C 372 1.2V -7 fpBGA 672 COM 50
LFE2M50E-5F484C 270 1.2V -5 fpBGA 484 COM 50
LFE2M50E-6F484C 270 1.2V -6 fpBGA 484 COM 50
LFE2M50E-7F484C 270 1.2V -7 fpBGA 484 COM 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M70E-5F1152C 436 1.2V -5 fpBGA 1152 COM 70
LFE2M70E-6F1152C 436 1.2V -6 fpBGA 1152 COM 70
LFE2M70E-7F1152C 436 1.2V -7 fpBGA 1152 COM 70
LFE2M70E-5F900C 416 1.2V -5 fpBGA 900 COM 70
LFE2M70E-6F900C 416 1.2V -6 fpBGA 900 COM 70
LFE2M70E-7F900C 416 1.2V -7 fpBGA 900 COM 70
5-15
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M100E-5F1152C 520 1.2V -5 fpBGA 1152 COM 100
LFE2M100E-6F1152C 520 1.2V -6 fpBGA 1152 COM 100
LFE2M100E-7F1152C 520 1.2V -7 fpBGA 1152 COM 100
LFE2M100E-5F900C 416 1.2V -5 fpBGA 900 COM 100
LFE2M100E-6F900C 416 1.2V -6 fpBGA 900 COM 100
LFE2M100E-7F900C 416 1.2V -7 fpBGA 900 COM 100
5-16
Ordering Information
LatticeECP2/M Family Data Sheet
Industrial
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M20E-5F484I 304 1.2V -5 fpBGA 484 IND 20
LFE2M20E-6F484I 304 1.2V -6 fpBGA 484 IND 20
LFE2M20E-5F256I 140 1.2V -5 fpBGA 256 IND 20
LFE2M20E-6F256I 140 1.2V -6 fpBGA 256 IND 20
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M35E-5F672I 410 1.2V -5 fpBGA 672 IND 35
LFE2M35E-6F672I 410 1.2V -6 fpBGA 672 IND 35
LFE2M35E-5F484I 303 1.2V -5 fpBGA 484 IND 35
LFE2M35E-6F484I 303 1.2V -6 fpBGA 484 IND 35
LFE2M35E-5F256I 140 1.2V -5 fpBGA 256 IND 35
LFE2M35E-6F256I 140 1.2V -6 fpBGA 256 IND 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M50E-5F900I 410 1.2V -5 fpBGA 900 IND 50
LFE2M50E-6F900I 410 1.2V -6 fpBGA 900 IND 50
LFE2M50E-5F672I 372 1.2V -5 fpBGA 672 IND 50
LFE2M50E-6F672I 372 1.2V -6 fpBGA 672 IND 50
LFE2M50E-5F484I 270 1.2V -5 fpBGA 484 IND 50
LFE2M50E-6F484I 270 1.2V -6 fpBGA 484 IND 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M70E-5F1152I 436 1.2V -5 fpBGA 1152 IND 70
LFE2M70E-6F1152I 436 1.2V -6 fpBGA 1152 IND 70
LFE2M70E-5F900I 416 1.2V -5 fpBGA 900 IND 70
LFE2M70E-6F900I 416 1.2V -6 fpBGA 900 IND 70
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M100E-5F1152I 520 1.2V -5 fpBGA 1152 IND 100
LFE2M100E-6F1152I 520 1.2V -6 fpBGA 1152 IND 100
LFE2M100E-5F900I 416 1.2V -5 fpBGA 900 IND 100
LFE2M100E-6F900I 416 1.2V -6 fpBGA 900 IND 100
5-17
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M35E-5FN672C 410 1.2V -5 Lead-Free fpBGA 672 COM 35
LFE2M35E-6FN672C 410 1.2V -6 Lead-Free fpBGA 672 COM 35
LFE2M35E-7FN672C 410 1.2V -7 Lead-Free fpBGA 672 COM 35
LFE2M35E-5FN484C 303 1.2V -5 Lead-Free fpBGA 484 COM 35
LFE2M35E-6FN484C 303 1.2V -6 Lead-Free fpBGA 484 COM 35
LFE2M35E-7FN484C 303 1.2V -7 Lead-Free fpBGA 484 COM 35
LFE2M35E-5FN256C 140 1.2V -5 Lead-Free fpBGA 256 COM 35
LFE2M35E-6FN256C 140 1.2V -6 Lead-Free fpBGA 256 COM 35
LFE2M35E-7FN256C 140 1.2V -7 Lead-Free fpBGA 256 COM 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M50E-5FN900C 410 1.2V -5 Lead-Free fpBGA 900 COM 50
LFE2M50E-6FN900C 410 1.2V -6 Lead-Free fpBGA 900 COM 50
LFE2M50E-7FN900C 410 1.2V -7 Lead-Free fpBGA 900 COM 50
LFE2M50E-5FN672C 372 1.2V -5 Lead-Free fpBGA 672 COM 50
LFE2M50E-6FN672C 372 1.2V -6 Lead-Free fpBGA 672 COM 50
LFE2M50E-7FN672C 372 1.2V -7 Lead-Free fpBGA 672 COM 50
LFE2M50E-5FN484C 270 1.2V -5 Lead-Free fpBGA 484 COM 50
LFE2M50E-6FN484C 270 1.2V -6 Lead-Free fpBGA 484 COM 50
LFE2M50E-7FN484C 270 1.2V -7 Lead-Free fpBGA 484 COM 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M70E-5FN1152C 436 1.2V -5 Lead-Free fpBGA 1152 COM 70
LFE2M70E-6FN1152C 436 1.2V -6 Lead-Free fpBGA 1152 COM 70
LFE2M70E-7FN1152C 436 1.2V -7 Lead-Free fpBGA 1152 COM 70
LFE2M70E-5FN900C 416 1.2V -5 Lead-Free fpBGA 900 COM 70
LFE2M70E-6FN900C 416 1.2V -6 Lead-Free fpBGA 900 COM 70
LFE2M70E-7FN900C 416 1.2V -7 Lead-Free fpBGA 900 COM 70
5-18
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M100E-5FN1152C 520 1.2V -5 Lead-Free fpBGA 1152 COM 100
LFE2M100E-6FN1152C 520 1.2V -6 Lead-Free fpBGA 1152 COM 100
LFE2M100E-7FN1152C 520 1.2V -7 Lead-Free fpBGA 1152 COM 100
LFE2M100E-5FN900C 416 1.2V -5 Lead-Free fpBGA 900 COM 100
LFE2M100E-6FN900C 416 1.2V -6 Lead-Free fpBGA 900 COM 100
LFE2M100E-7FN900C 416 1.2V -7 Lead-Free fpBGA 900 COM 100
Industrial
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M20E-5FN484I 304 1.2V -5 Lead-Free fpBGA 484 IND 20
LFE2M20E-6FN484I 304 1.2V -6 Lead-Free fpBGA 484 IND 20
LFE2M20E-5FN256I 140 1.2V -5 Lead-Free fpBGA 256 IND 20
LFE2M20E-6FN256I 140 1.2V -6 Lead-Free fpBGA 256 IND 20
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M35E-5FN672I 410 1.2V -5 Lead-Free fpBGA 672 IND 35
LFE2M35E-6FN672I 410 1.2V -6 Lead-Free fpBGA 672 IND 35
LFE2M35E-5FN484I 303 1.2V -5 Lead-Free fpBGA 484 IND 35
LFE2M35E-6FN484I 303 1.2V -6 Lead-Free fpBGA 484 IND 35
LFE2M35E-5FN256I 140 1.2V -5 Lead-Free fpBGA 256 IND 35
LFE2M35E-6FN256I 140 1.2V -6 Lead-Free fpBGA 256 IND 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M50E-5FN900I 410 1.2V -5 Lead-Free fpBGA 900 Ind 50
LFE2M50E-6FN900I 410 1.2V -6 Lead-Free fpBGA 900 Ind 50
LFE2M50E-5FN672I 372 1.2V -5 Lead-Free fpBGA 672 Ind 50
LFE2M50E-6FN672I 372 1.2V -6 Lead-Free fpBGA 672 Ind 50
LFE2M50E-5FN484I 270 1.2V -5 Lead-Free fpBGA 484 Ind 50
LFE2M50E-6FN484I 270 1.2V -6 Lead-Free fpBGA 484 Ind 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M70E-5FN1152I 436 1.2V -5 Lead-Free fpBGA 1152 Ind 70
LFE2M70E-6FN1152I 436 1.2V -6 Lead-Free fpBGA 1152 Ind 70
LFE2M70E-5FN900I 416 1.2V -5 Lead-Free fpBGA 900 Ind 70
LFE2M70E-6FN900I 416 1.2V -6 Lead-Free fpBGA 900 Ind 70
5-19
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M100E-5FN1152I 520 1.2V -5 Lead-Free fpBGA 1152 Ind 100
LFE2M100E-6FN1152I 520 1.2V -6 Lead-Free fpBGA 1152 Ind 100
LFE2M100E-5FN900I 416 1.2V -5 Lead-Free fpBGA 900 Ind 100
LFE2M100E-6FN900I 416 1.2V -6 Lead-Free fpBGA 900 Ind 100
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M35SE-5F672C 410 1.2V -5 fpBGA 672 Com 35
LFE2M35SE-6F672C 410 1.2V -6 fpBGA 672 Com 35
LFE2M35SE-7F672C 410 1.2V -7 fpBGA 672 Com 35
LFE2M35SE-5F484C 303 1.2V -5 fpBGA 484 Com 35
LFE2M35SE-6F484C 303 1.2V -6 fpBGA 484 Com 35
LFE2M35SE-7F484C 303 1.2V -7 fpBGA 484 Com 35
LFE2M35SE-5F256C 140 1.2V -5 fpBGA 256 Com 35
LFE2M35SE-6F256C 140 1.2V -6 fpBGA 256 Com 35
LFE2M35SE-7F256C 140 1.2V -7 fpBGA 256 Com 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M50SE-5F900C 410 1.2V -5 fpBGA 900 Com 50
LFE2M50SE-6F900C 410 1.2V -6 fpBGA 900 Com 50
LFE2M50SE-7F900C 410 1.2V -7 fpBGA 900 Com 50
LFE2M50SE-5F672C 372 1.2V -5 fpBGA 672 Com 50
LFE2M50SE-6F672C 372 1.2V -6 fpBGA 672 Com 50
LFE2M50SE-7F672C 372 1.2V -7 fpBGA 672 Com 50
LFE2M50SE-5F484C 270 1.2V -5 fpBGA 484 Com 50
LFE2M50SE-6F484C 270 1.2V -6 fpBGA 484 Com 50
LFE2M50SE-7F484C 270 1.2V -7 fpBGA 484 Com 50
5-20
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M70SE-5F1152C 436 1.2V -5 fpBGA 1152 Com 70
LFE2M70SE-6F1152C 436 1.2V -6 fpBGA 1152 Com 70
LFE2M70SE-7F1152C 436 1.2V -7 fpBGA 1152 Com 70
LFE2M70SE-5F900C 416 1.2V -5 fpBGA 900 Com 70
LFE2M70SE-6F900C 416 1.2V -6 fpBGA 900 Com 70
LFE2M70SE-7F900C 416 1.2V -7 fpBGA 900 Com 70
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M100SE-5F1152C 520 1.2V -5 fpBGA 1152 Com 100
LFE2M100SE-6F1152C 520 1.2V -6 fpBGA 1152 Com 100
LFE2M100SE-7F1152C 520 1.2V -7 fpBGA 1152 Com 100
LFE2M100SE-5F900C 416 1.2V -5 fpBGA 900 Com 100
LFE2M100SE-6F900C 416 1.2V -6 fpBGA 900 Com 100
LFE2M100SE-7F900C 416 1.2V -7 fpBGA 900 Com 100
5-21
Ordering Information
LatticeECP2/M Family Data Sheet
Industrial
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M20SE-5F484I 304 1.2V -5 fpBGA 484 Ind 20
LFE2M20SE-6F484I 304 1.2V -6 fpBGA 484 Ind 20
LFE2M20SE-5F256I 140 1.2V -5 fpBGA 256 Ind 20
LFE2M20SE-6F256I 140 1.2V -6 fpBGA 256 Ind 20
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M35SE-5F672I 410 1.2V -5 fpBGA 672 Ind 35
LFE2M35SE-6F672I 410 1.2V -6 fpBGA 672 Ind 35
LFE2M35SE-5F484I 303 1.2V -5 fpBGA 484 Ind 35
LFE2M35SE-6F484I 303 1.2V -6 fpBGA 484 Ind 35
LFE2M35SE-5F256I 140 1.2V -5 fpBGA 256 Ind 35
LFE2M35SE-6F256I 140 1.2V -6 fpBGA 256 Ind 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M50SE-5F900I 410 1.2V -5 fpBGA 900 Ind 50
LFE2M50SE-6F900I 410 1.2V -6 fpBGA 900 Ind 50
LFE2M50SE-5F672I 372 1.2V -5 fpBGA 672 Ind 50
LFE2M50SE-6F672I 372 1.2V -6 fpBGA 672 Ind 50
LFE2M50SE-5F484I 270 1.2V -5 fpBGA 484 Ind 50
LFE2M50SE-6F484I 270 1.2V -6 fpBGA 484 Ind 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M70SE-5F1152I 436 1.2V -5 fpBGA 1152 Ind 70
LFE2M70SE-6F1152I 436 1.2V -6 fpBGA 1152 Ind 70
LFE2M70SE-5F900I 416 1.2V -5 fpBGA 900 Ind 70
LFE2M70SE-6F900I 416 1.2V -6 fpBGA 900 Ind 70
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M100SE-5F1152I 520 1.2V -5 fpBGA 1152 Ind 100
LFE2M100SE-6F1152I 520 1.2V -6 fpBGA 1152 Ind 100
LFE2M100SE-5F900I 416 1.2V -5 fpBGA 900 Ind 100
LFE2M100SE-6F900I 416 1.2V -6 fpBGA 900 Ind 100
5-22
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M35SE-5FN672C 410 1.2V -5 Lead-Free fpBGA 672 Com 35
LFE2M35SE-6FN672C 410 1.2V -6 Lead-Free fpBGA 672 Com 35
LFE2M35SE-7FN672C 410 1.2V -7 Lead-Free fpBGA 672 Com 35
LFE2M35SE-5FN484C 303 1.2V -5 Lead-Free fpBGA 484 Com 35
LFE2M35SE-6FN484C 303 1.2V -6 Lead-Free fpBGA 484 Com 35
LFE2M35SE-7FN484C 303 1.2V -7 Lead-Free fpBGA 484 Com 35
LFE2M35SE-5FN256C 140 1.2V -5 Lead-Free fpBGA 256 Com 35
LFE2M35SE-6FN256C 140 1.2V -6 Lead-Free fpBGA 256 Com 35
LFE2M35SE-7FN256C 140 1.2V -7 Lead-Free fpBGA 256 Com 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M50SE-5FN900C 410 1.2V -5 Lead-Free fpBGA 900 Com 50
LFE2M50SE-6FN900C 410 1.2V -6 Lead-Free fpBGA 900 Com 50
LFE2M50SE-7FN900C 410 1.2V -7 Lead-Free fpBGA 900 Com 50
LFE2M50SE-5FN672C 372 1.2V -5 Lead-Free fpBGA 672 Com 50
LFE2M50SE-6FN672C 372 1.2V -6 Lead-Free fpBGA 672 Com 50
LFE2M50SE-7FN672C 372 1.2V -7 Lead-Free fpBGA 672 Com 50
LFE2M50SE-5FN484C 270 1.2V -5 Lead-Free fpBGA 484 Com 50
LFE2M50SE-6FN484C 270 1.2V -6 Lead-Free fpBGA 484 Com 50
LFE2M50SE-7FN484C 270 1.2V -7 Lead-Free fpBGA 484 Com 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M70SE-5FN1152C 436 1.2V -5 Lead-Free fpBGA 1152 Com 70
LFE2M70SE-6FN1152C 436 1.2V -6 Lead-Free fpBGA 1152 Com 70
LFE2M70SE-7FN1152C 436 1.2V -7 Lead-Free fpBGA 1152 Com 70
LFE2M70SE-5FN900C 416 1.2V -5 Lead-Free fpBGA 900 Com 70
LFE2M70SE-6FN900C 416 416 -6 Lead-Free fpBGA 900 Com 70
LFE2M70SE-7FN900C 416 416 -7 Lead-Free fpBGA 900 Com 70
5-23
Ordering Information
LatticeECP2/M Family Data Sheet
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M100SE-5FN1152C 520 1.2V -5 Lead-Free fpBGA 1152 Com 100
LFE2M100SE-6FN1152C 520 1.2V -6 Lead-Free fpBGA 1152 Com 100
LFE2M100SE-7FN1152C 520 1.2V -7 Lead-Free fpBGA 1152 Com 100
LFE2M100SE-5FN900C 416 1.2V -5 Lead-Free fpBGA 900 Com 100
LFE2M100SE-6FN900C 416 1.2V -6 Lead-Free fpBGA 900 Com 100
LFE2M100SE-7FN900C 416 1.2V -7 Lead-Free fpBGA 900 Com 100
5-24
Ordering Information
LatticeECP2/M Family Data Sheet
Industrial
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M20SE-5FN484I 304 1.2V -5 Lead-Free fpBGA 484 Ind 20
LFE2M20SE-6FN484I 304 1.2V -6 Lead-Free fpBGA 484 Ind 20
LFE2M20SE-5FN256I 140 1.2V -5 Lead-Free fpBGA 256 Ind 20
LFE2M20SE-6FN256I 140 1.2V -6 Lead-Free fpBGA 256 Ind 20
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M35SE-5FN672I 410 1.2V -5 Lead-Free fpBGA 672 Ind 35
LFE2M35SE-6FN672I 410 1.2V -6 Lead-Free fpBGA 672 Ind 35
LFE2M35SE-5FN484I 303 1.2V -5 Lead-Free fpBGA 484 Ind 35
LFE2M35SE-6FN484I 303 1.2V -6 Lead-Free fpBGA 484 Ind 35
LFE2M35SE-5FN256I 140 1.2V -5 Lead-Free fpBGA 256 Ind 35
LFE2M35SE-6FN256I 140 1.2V -6 Lead-Free fpBGA 256 Ind 35
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M50SE-5FN900I 410 1.2V -5 Lead-Free fpBGA 900 Ind 50
LFE2M50SE-6FN900I 410 1.2V -6 Lead-Free fpBGA 900 Ind 50
LFE2M50SE-5FN672I 372 1.2V -5 Lead-Free fpBGA 672 Ind 50
LFE2M50SE-6FN672I 372 1.2V -6 Lead-Free fpBGA 672 Ind 50
LFE2M50SE-5FN484I 270 1.2V -5 Lead-Free fpBGA 484 Ind 50
LFE2M50SE-6FN484I 270 1.2V -6 Lead-Free fpBGA 484 Ind 50
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M70SE-5FN1152I 436 1.2V -5 Lead-Free fpBGA 1152 Ind 70
LFE2M70SE-6FN1152I 436 1.2V -6 Lead-Free fpBGA 1152 Ind 70
LFE2M70SE-5FN900I 416 1.2V -5 Lead-Free fpBGA 900 Ind 70
LFE2M70SE-6FN900I 416 1.2V -6 Lead-Free fpBGA 900 Ind 70
Part Number I/Os Voltage Grade Package Pins Temp. LUTs (K)
LFE2M100SE-5FN1152I 520 1.2V -5 Lead-Free fpBGA 1152 Ind 100
LFE2M100SE-6FN1152I 520 1.2V -6 Lead-Free fpBGA 1152 Ind 100
LFE2M100SE-5FN900I 416 1.2V -5 Lead-Free fpBGA 900 Ind 100
LFE2M100SE-6FN900I 416 1.2V -6 Lead-Free fpBGA 900 Ind 100
5-25
LatticeECP2/M Family Data Sheet
Supplemental Information
July 2012 Data Sheet DS1006
For further information about interface standards refer to the following web sites:
• JEDEC Standards (LVTTL, LVCMOS, SSTL, HSTL): www.jedec.org
• PCI: www.pcisig.com
© 2012 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand
or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice.
7-2
Revision History
LatticeECP2/M Family Data Sheet
7-3
Revision History
LatticeECP2/M Family Data Sheet
7-4
Revision History
LatticeECP2/M Family Data Sheet
7-5