Semiconductor Materials and Process Technology Handbook (Vlsi and Ultra Large Scale Integration) (PDFDrive)
Semiconductor Materials and Process Technology Handbook (Vlsi and Ultra Large Scale Integration) (PDFDrive)
Editors
Related Titles
Edited by
Gary E. McGuire
Microelectronics Center of North Carolina
Research Triangle Park, North Carolina
~
NOYES PUBLICATIONS
np WILLIAM ANDREW PUBLISHING, LLC
Norwich, New York, U.S.A.
Copyright © 1988 by Noyes Publications
No Part of this book may be reprod uced in any form
without permission in writing from the Publisher.
Library of Congress Catalog Card Number: 87-31529
ISBN: 0-8155-1150-7
Printed in the United States
109876
Reprint Edition
Bibliography: p.
Includes index.
1. Integrated circuits--Very large scale
integration --Design and construction --Handbooks,
manuals, etc. I. McGuire, G.E.
TK7874.S4178 1988 621.395 87-31529
ISBN 0-8155-1150-7
Contributors
v
NOTICE
vi
Contents
INTRODUCTION 1
Gary E. McGuire
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7
vii
viii Contents
Uniformity 253
Selectivity --~ 254
Throughput -256
Defect Introduction 257
Radiation Damage Effects 257
Specific Etching Processes 258
Silicon and Silicides 258
Etching of Thermal and LPCVD Oxide 260
Etching of Aluminum Metallization 263
Silicon Nitride 264
Etching of Group III, V Compound Semiconductors 264
Plasma Deposition 265
Introduction 265
Applications of PECVD Materials 268
General Aspects of PECVD and PECVD Reactors 268
Reactor Designs 273
Source Gases . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
Uniformity Considerations and Interaction of PECVD
Variable Parameters 281
Film Properties and Their Control 284
Materials Deposited and Their Applications 289
Silicon Nitride 289
Silicon Oxide 301
Amorphous Silicon 305
Other Semiconductors, Including Epitaxial Growth 308
Metals 311
Silicides 312
Other Materials 312
Interface Properties 315
Summary and Conclusions 318
References 320
INDEX 669
Introduction
Gary E. McGuire
Tektronix, Inc.
Beaverton, Oregon
20
LSI --~.I..--VLSI----+I~SUPER VLSI--+I
1K DRAM
10
• 4K DRAM
7 • 01K SRAM
• 04K SRAM
5 BK DRAM •
4 16K DRAM 16K SRAM
3 eo
MINIMUM FEATURE 64K DRAM 0 • 256K DRAM
SIZE (jon) 2
64K SRAM
oe1M DRAM
1.0 256K SRAM 1M SRAM
0.7
o
.4M DRAM
0.5 o
4M SRAM
0.4
10
V;
2:
,
0
0:::
W
:E:
i= 6
<.:>
z.
LLJ
....J
....J
LLJ
:z:
:z:
c::::e
.
5
0:::
0
I-
(.I)
V; 2
z
«
ex:
I-
2 , . 5 6 7
•
CH I P LENGTH (~1M)
Figure 2: Plot of transistor channel length versus overall chip size showing the
trend toward larger chips even though the feature sizes have decreased.
Introduction 3
1200
W 1000
0
......,
i-
en
0> 800
c
«
-
C/)
C/) 600 •
W
Z
~
() 400
I
•
I-
W 200
0
X
0
0
a 2 3 4 5 6
ACTIVE CHANNEL LENGTH (Microns)
Figure 3: Plot of gate oxide th ickness as a function of the active channel length.
4--r----------------.. .
O-+------~---..------- ...
o 2 3 4 5
ACTIVE GATE LENGTH (Microns)
Figure 4: Plot of minimum gate delay as a function of the active gate length.
4 Semiconductor Materials
"00'"
o
o
o
o
VOS=O.1V
DRAIN
CURRENT
(lOS)
VGATE
GATE VOLTAGE
Figure 6: Plot of the drain current versus gate voltage illustrating the lack of a
sharp cut-off voltage for VLSI devices. Reference: VLSI Technology and Design,
IEEE, O. Folberth and W. Grobman (1984).
600-------------------
•
500
400
JL (nA/em 2) @ 70°C ~.
.~.
300
200
100
REFERENCES
1. J.D. Meindl, IEEE Transactions on Electron Devices, ED-31 #11,1555 (1984).
2. A. Reisman, in VLSI: Technology and Design, Otto G. Folberth and Warren D.
Grobman, eds, IEEE Press, New York (1984).
3. R.W. Keyes, IEEE Transactions on Electron Devices, ED-26 #4,271 (1979).
4. F.H. Gaenssien, V.L. Rideout, E.J. Walker and J.J. Walker, IEEE Transactions on
Electron Devices, ED-24, 218 (1977).
5. P.K. Chatterjee, G.W. Taylor, A.F. Tasch, Jr., and H-S Fu, IEEE Transactions on
Electron Devices, ED-26, 564 (1979).
6. A.N. Broers, IEEE Transactions on Electron Devices, ED-28, 1268 (1981).
1
Silicon Materials Technology
William C. O'Mara
Aeolus Laboratory
Palo Alto, California
1. INTRODUCTION
This is the silicon age. Just as previous historical periods were named
by the characteristic material, we may assume that silicon will be seen as
paramount in importance to the era to which some refer as the second
industrial revolution. Certainly the transformation in the way people both
work and relax is being changed sig nificantly by electronic devices such as
computers, control systems, and audio and video products. These electronic
devices are all based on silicon, especially as used for integrated circuits.
Althoug h a large am ou nt of tech nicall iteratu re exists on si Iicon devices,
comparatively little has been written on the material itself. This is especially
true of material of an introductory nature. This chapter attempts a survey of
the way silicon is made, and includes information on material properties,
especially as modified by the presence of small amounts of oxygen. Silicon
turns out to be a fascinating substance, and readers of this view are invited
to turn to the references for further information.
The method for single-crystal silicon growth was invented byTeal and
Beuhler in 1951. 1 It was an extension of earlier work by Teal and Little in
which single crystals of germanium were prepared fortransistor manufac-
turing. Germanium, like silicon, occupiesaGroup IVposition in the periodic
table, and has semiconductor properties that facilitated the initial device
manufacturing. However, the superior properties of silicon were soon
realized and the method was extended to this element. Pure material was
melted in a quartz crucible in an inert ambient, and a seed crystal was
lowered to begin controlled freezing of the melt. Dopants were added as
needed to control the electrical properties of the material. Reference 1
describes this early work in detail.
Production of silicon ingots today follows this same method, although
extensive improvements have been made in equipment, starting material
and process control. This section describes current practice, with emphasis
on material perfection and controlled impurity incorporation. Some people
refer to this method as Czochralski silicon growth, after an earlier experi-
mental method. However, this method was not designed for, nor did it
produce, single-crystal material. 2 The ability of Teal and Little to make
single crystals repeatably was crucial to the growth of the solid-state-
device industry.
Other processes have been developed for silicon-crystal growth. The
most important of these is the floating-zone method, in which the crystal is
solidified from a small molten zone resting on the crystal itself. A polycrys-
talline feed rod is lowered from above into an RF induction coil which melts
its lower end. The rate of lowering is matched to the rate of withdrawal of
the crystal from below in order to maintain a constant melt volume. This
method differs from that of Teal and Little in that no crucible is employed;
the melt is suspended and maintained by surface tension. Because the
melt is not in contact with quartz, no oxygen is incorporated into the silicon.
Some devices, such as high-voltage, high-power transistors, rectifiers and
thyristors require oxygen-free starting material. The majority of devices,
however, including virtually all integrated circuits, benefit from the presence
of dissolved oxygen and therefore require silicon grown from a quartz
crucible.
Several other processes have been investigated for the manufacture
of silicon devices. 3 These include sheet-growth methods using dendrites
orfast-growing silicon-crystal forms, growth from dies orfree-form crystal-
lization. Casting has also been employed to prepare ingots of large-grain
polycrystalline material. One product of these novel growth methods is
infrared window blanks for various applications. The main thrust of work in
this area, however, has been to prepare low-cost starting material for
photovoltaic applications. Currently, casting of polycrystalline ingots is
the most common way to prepare photovoltaic substrates.
CRYSTAL
UPPER
CRUCIBLE CRUCIBLE
SUPPORT INSULATION
PACK
LOWER
SUPPORT HEATER
INSULATOR
PEDESTAL
HEATER
CRUCIBLE CONNECTOR
SHAFT
ELECTRODE
introducing dislocations into the lower end or tang of the crystal. These
dislocations, if introduced, could propagate upward and destroy crystal
perfection in much of the ingot.
An important improvement in crystal growth was made in the late
1950s by Dash,4 which allowed the production of ingots free of dislocations,
termed zero-D growth. The process, represented schematically in Figure
3, involves special growth conditions during the initial seeding process.
The seed is a single crystal of silicon, usually oriented along a < 100> or
<111> direction. Although it is a single crystal, in general it will contain
dislocations or extended disruptions of the lattice. As material is added to
the seed by freezing, the dislocations will propagate. By reducing the seed
diameterto 5 mm or half the initial diameter, and making use of the fact that
dislocations virtually always make at least a small angle with respect to the
vertical axis, the seed can be grown to the point at which all dislocations
have reached its surface. Once a dislocation is at the su rface, it is "pinned",
and substantial energy is required to initiate a new one. Subsequent
growth of the crystal is routinely maintained in the dislocation-free condi-
tion, and all silicon substrates are supplied in this state. The method of
Dash was crucial to the production of ingots of three-inch diameter and
larger, avoiding the tendency of large dislocated crystals to become
polycrystalline. Figure 4 shows the stages of crystal growth in a production
puller, while Figure 5 shows a completed ingot ready for further processing.
DISLOCATIONS
Ubiquitous Impurities
Oxygen 1.25 1.2 x 10 18
Carbon 0.07 4 5 x 10 17
Nitrogen 7 x 10- 4.5 x 1015
Metals
Iron 8 x 10- 6 2x 1016
Nickel 2.7 x 10- 6 8 x 10 17
Copper
Gold
4 x
6 x
10- 4
10- 6
1.1 19
x 18
1 x 10'8
Aluminum 2 x 10- 3 2x 10 p-type
002 _I ~'~""- \
00] "-~I:::.:.-.......L..~_--J--_.J..--
I~_--L-_~--I._\~-----J
o 01 0.2 03 0.4 05 06 0.7 0.8 09
Fraction 5011 difled ,1
11
N TYPE (111)
3 INCH DIAMETER
9
]' 7
s
>-
~
:>
~ 5
U5
UJ
a:
o 5 10 15 20
DISTANCE FROM SEED (inches)
(BREITWISER)
J:
== (3
>- ~ CZOCHRALSKI GROWNN PHOSPHORUS (100) 3" WAFER
~ ~ G
~z
(iJ ~ j
cr'--'
~
6
~ 8
>- ~ 7
3f=C-~ 6
~ ~ 5
~ u ~1)=21%
IT:
~ CZOCHRALSKI GROWN 'N' PHOSPHORUS (111) 3" WAFER
o
10 20 30
DISTANCE FROM CENTER - MILLIMETERS
25
..... I -
- I -
~ - I -
~ - ..4~ -
0.. ']l
e:. 20 ~
..............
z
!~~
- -
0
- -
~
<! - -
~~
cr: - -
~
z 15
~
w
() -
~
Z -
0
-
~
()
z - -
w
(9 10
>- - -
x
0 - -
r- -
SEED 3 6 9 12 15 18 TAIL
DISTANCE FROM SEED (INCHES)
Figure 10: Axial gradient of oxygen in a silicon crystal. Oxygen measured accord-
ingtoASTM F121-80.
20 Semiconductor Materials
20
~.
Q.
E-
z
o 15
~
«c::
f--
Z
w
•
~ 10 • TAIL
o
u
z
w
t9
>- •
3 5
o 10 20 30 40
DISTANCE FROM CENTER OF INGOT (mm)
10
5
0
2
~
Q.
e:.
z
Q 1
.....
<!
0:
.....
Z
w .5
u
z
0
u
z
0
en
0: .2
<!
u
.1
.05
.03
.2 .4 .6 .8 1.0
FRACTION GROWN
Figure 12: Carbon concentration versus fraction of melt solidified for three con-
ditions of crystal growth: circles represent the maximum level of carbon due to
remelt in the original charge, triangles represent crystals grown with standard
polysilicon at atmospheric pressure and squares present data for material grow·n
with standard polysil icon but a reduced pressure of 30 torr.
22 Semiconductor Materials
1
4
----...-----4
45°
PRIMA RY .
FLAT \
I pRIMARY
FLAT
"?,SECONDARY
FLAT
{",} n - TYPE {1"} P - TYPE
I
~, \
T
I P RIM ARY ..----r-----11 Tp RIM A R Y
t
T 1-----'-----..10...----4
SECONDARY
,-t.:
LAT I j -lFLAT
~90°
I
FLAT ~ SECONDARY
FLAT
{ 100} n - T YPE ~
{ OO} P- T YPE
,
Crystal \ Blade
\ Axial Blade
~
\ ---Movement
Blade
blade
/
5 4 3 2 1
Figure 14: Schematic of ingot-sl icing operation. Blade mounting and cond ition-
ing are done carefully to allow for straight cuts. Blade deviation can result in
either bow (cuts 1 and 3) or taper (cuts 1 and 2) in the slice.
3.3 Polishing
Front-surface polishing of the wafer leaves it with a mirror finish
needed for device fabrication. Wafers are mounted on carriers for this
operation, held either by a thin wax layer or by a friction bond to the carrier.
These carriers are pressed onto a rotating polishing pad of polymeric
material while a polishing slurry is applied. Generally the process consists
of two steps on two different polishing machines. In the first step, perhaps
0.001" orone mil of silicon is removed from the surface in a process termed
stock removal. The carrier is then moved to a mach ine with a smoother pad
surface for final, mirror-finish polishing.
The polishing slurry consists of a solution of colloidal silica maintained
at a pH of 11 for stock removal and a pH of 9 forfinish polish. The function of
the silica in the polishing process is not understood. It is probable that the
product of the polishing process is silica itself. That is, the surface of the
wafer is oxidized and hydrated to form a silica that can be wiped away by
the pad. It is curious that one must add the reaction product to initiate the
polishing process. The silica acts as some sort of catalyst, and the primary
polishing agent is probably water!15
3.4 Cleaning
The final step in wafer preparation is a careful cleaning of the surface. A
sequence of acids and bases is used to remove any contaminants, including
wax residues, if any, and metallic contaminants in the polishing medium.
The cleaning process is assisted by adding hydrogen peroxide to oxidize
these materials, and by heating the liquid baths. The basis for chemical
cleaning has been established by Kern. 16
The final bath in chemical cleaning process is usually chosen so that
the wafer surface is hydrophilic, orwater-Ioving. This means that the water
wets the surface as the wafer is withdrawn from the bath, and can be
removed uniformly. A combination of ammonium hydroxide and hydrogen
peroxide is an example of such a bath. On the other hand, a wafer
withdrawn from a hydrofluoric acid bath will be hydrophobic, and waterwill
bead on its surface. This beading can lead to spots on the surface after the
water dries. The difference between a hydrophilic and hydrophobic surface
consists of the difference in the native oxide thickness. Silicon will instantly
grow a thin oxide upon emerging from a chemical bath. In the hydrophobic
case, the oxide thickness is 1 OA or so, while for the hydrophilic case the
oxide thickness can range from 15-50}\, depending on bath characteristics.
This can lead to variations in thickness of a subsequent thermal oxide
layer. This variation is important for thin gate-oxide-Iayer growth in MaS
device fabrication.
Throughout the fabrication process, wafers are inspected for a variety
of parameters including physical dimensions, electrical resistivity, flatness
and surface perfection. Afterfinal inspection, most wafers are packaged in
26 Semiconductor Materials
Class 100 clean rooms in such a fashion that the cleanliness will be
maintained until use by the customer.
4. MATERIAL PROPERTIES
detach a valence electron from one of the covalent bonds. This property, as
well as some other important properties of silicon, are listed in Table 2.
Silicon is useful for electronic devices because the electrical properties
can be modified by the addition of impurities or dopants. For example,
phosphorus or other Group V atoms substitute for silicon atoms at lattice
positions when added during crystal growth. 13 However, phosphorus has
an extra valence electron, which is easily removed from the vicinity of the
donor atom, and contributes to electrical conduction as in a metal.
On the other hand, if a Group III element such as boron is added as an
impurity, a property unique to semiconductors is manifest. Boron also
substitutes for silicon, maintaining the perfect lattice structure. But boron
has only three of the required four valence electrons, so that one of the
valence bonds is "short" an electron. This electron deficit is termed a hole.
The hole can migrate when an adjacent electron jumps into the bond
lacking one electron. Under the influence of an electric field in boron-doped
silicon, the electrons jump from valence bond tovalence bond. The effect is
as if the "hole" migrates in the opposite direction.
Because silicon can be doped both with donors (such as phosphorus)
and acceptors (such as boron), two types of electrical conduction are
possible in the material. Because of the perfect crystal structure, the
regions of different doping can be maintained permanently separated,
allowing fabrication of resistors, diodes, and transistors in different portions
of the material. The existence of a native oxide allows metallic interconnects
and capacitors to be made on the surface of the wafer, the sum total
constituting an integrated circuit of great complexity.
103
102
Eu 10
~
0-
r 10°
~
>
~
en 10- 1
en
LU
a:
10- 2
10- 3
Figure 16: Resistivity of sil icon as a function of n- and p-type dopant concen-
tration, for carrier concentrations 10 14 -1 021jcm 3 ,18
1400 -~-I~--
--I' .,. -r-~
UQ) 1200
V'
I Si
+oJ
1000
0
>
---
N
800
E
u
600
+oJ
>-
..0
400
0
~ 200
500
u
Q)
I 400
+-oJ
"0
C
N
300
E
u 200
-g 100
~
10 1 4 10 15 10 1 6 10 1 7 10 1 8 10 1 9 10 20 10 2 1
Eiectrons
-~-----~-'_._--
+oJ
>-
U
0_
Qj ~
> t/')
~Eu
.-
~
~
ctl
-
U
so is about the same for electrons and holes. Because of the lower hole
mobility, the field at which velocity saturation is reached is greaterthan for
electrons.
100
E
..3-
.t>
'~
~
E
~
,§ 10
.=E
~
t
~
~
s
Figure 20: Wavelength of the plasma minimum for electrons in silicon as a func-
tion of carrier concentration.2 2
32 Semiconductor Materials
100
E
2-
~
';
'f;
IV
~
E
:::J
10
E
'c
'E
~
~
~
~
10 20
Carrier concentration (cm - 3 )
Figure 21: Wavelength of the plasma minimum for holes in silicon as a function
of carrier concentration. 22
5. PROCESS-INDUCED DEFECTS
13 ~
u
10 5 .........
I 12 E
E Oxygen concentration B
co
~
4 "
?: 10 110
'r:;;
C X
Q)
o 10 .2
+-'
~ 10 3
+-'
LL ~
Cl
9 cQ)
C u
~
c
o
~ 10 2 8 u
ci5 c
Q)
Cl
>
X
o
5 10 15
Distance from Seed (cm)
(Diado et a/.)
Figure 23: Optical micrograph of etch pits due to carbon-related defects in sil i-
con. Features delineated by Secco etch on 100 surface. 14
Silicon Materials Technology 35
6. OXYGEN IN SILICON
o
o
o
o
U)
r---
a
Wo
(.Jo
~~
~o
o
(f)
(0
([
o
U)
(\J
o
81",,-,,-----
0
1400 1'000 800 600 400
WAVENUMBERS
Figure 25: Infrared spectrum of sil icon containing oxygen and carbon. Two peaks
due to oxygen are seen.
Silicon Materials Technology 37
bonds with near-neigh bor silicon atoms, with a nearly linear Si 20 structure.
The bond angle is 150°-160°.42 The absorption spectrum can be analyzed
as if the Si 20 species existed as a gas, or in other words, as if the silicon
lattice wasn't there at all. The 9 /Lm band is termed the asymmetric stretch-
ing mode of this species. Such a species should show other infrared-active
modes as well.
Figure 25 shows a line at 513 cm 1 or 19.5 /Lm, which is also due to
oxygen. In early studies, this line was thought to be another vibrational
mode of the interstitial oxygen species. However, Bosomworth and co-
workers showed that this could not be SO,42 and the line has remained
unassigned and a source of some controversy. In 1980 I first proposed that
this line was due to oxygen in another site, most probably substituional. 43
The evidence for this assignment came from examining the low tempera-
ture absorption frequencies of isotopes of boron, carbon, and oxygen in
silicon which are shown in Table 3.
The frequencies of Table 3 are plotted versus 1/yffiand are shown in
Figure 26. The straight-line relationship indicates that a simple harmonic
oscillator describes the motion for all the isotopes, indicating that all the
impurities occupy a lattice site with the full Td symmetry of the "diamond"
structure. This means either a true interstitial, or a fully substitutional site.
Baker and co-workers have shown that addition of carbon to pure silicon
causes the lattice to contract in a monatonic fashion until SiC precipitates
from solution. This is strong evidence that carbon exists in a substitutional
site,47 and contrasts strongly with the effect of "interstitial" oxygen, which
expands the lattice. 48 Taken together with the assumption that boron is
also substitutional, this implies that oxygen exists as a substitutional as
well as an interstitial species in oxygen.
016 517 43
C14 573 44
13
C 590 44
C12 611 44
811 623 45
810 646 45
38 Semiconductor Materials
700
650
I"
E
2
>-
u
z 600
w
~
d
w
a:
u..
550
500 - - - - - - - - - - - - - - - - - - - -
.25 .30
1/y'm
Figure 26: Plot of infrared absorption frequency of Iight elements in sil icon ver-
sus inverse square root of atomic mass. The oxygen absorption near 19.5 pm is
related to carbon and boron absorptions.
M 10 18
~
>-
~
5
~
co
:::>
...J
0
(I)
Z
w 10 17
">x-
0
10 16
6 8 9
104/T[K]
Figure 27: Oxygen solubil ity versus inverse temperature. Data of Reference 49
are plotted according to the infrared calibration of Reference 40.
grown level. This provides a driving force for precipitation from solution
when the wafer is heated to lower temperatures for oxidation or other
processes. This is the basis for much recent work on controlling such
precipitation for purposes of internal gettering, discussed below.
As is true with other physical properties of oxygen in silicon, the
solubility as a function of temperature is apparently different when meas-
ured by different researchers. The results determined by Craven agree
well with those determined by Hrostowski and Kaiser,50 and Takano and
Maki,51 but other researchers have obtained quite different results (see
the review by Patel 39 for references).
6.1.4 Diffusion Coefficient. The diffusion of oxygen plays an im-
portant role in the creation of defect-free surface layers during device
manufacture, the formation of precipitates, and the formation of donors in
the 450°C temperature range.
Oxygen is a very fast diffuser in silicon, and the diffusion properties
have been measured over a wide temperature range by Mikkelsen. 52 The
results can be expressed in the relation
the diffusion of oxygen in silicon. These results agree quite well with those
obtained by Takano and Maki. 51
At low temperatures, in the range 300°-500°C, the situation is more
complicated, and again oxygen demonstrates its duality. An optical exper-
iment was performed which gave an indirect way of obtaining the diffusion
coefficient in this temperature range. 53 The results of the experiment
yielded two quite different diffusion coefficients, depending on the prior
heat treatment of the material. In one case, the diffusion coefficient had the
same value as extrapolated from the high-temperature work cited previ-
ously.51,52In this case, the material had been heated above 1200°C priorto
measuring the diffusion coefficient. It is quite possible that the heating
step produced an equilibrium distribution of oxygen among different sites
which does not exist even in the as-grown case. The second diffusion
coefficient was measured to be one hundred times fasterthan the first one,
and was seen after extended heating at 900°C. At this temperature,
departure from equilibrium is quite probable. The two values of the diffusion
coefficient can be due to the predominance of the interstitial or substitu-
tional species proposed earlier. 43
6.1.5 Donor Formation. A property unique to oxygen in silicon is its
ability to form electrically active complexes when heated at 450°C. This
phenomenon was reported prior to the discovery that crucible-grown
material contained oxygen. 54 The temperature range of donorformation is
quite narrow (::=; ±50°C), indicating a specific mechanism for the process.
The rate of formation and maximum concentration depend on the oxygen
level. The formation rate is proportional to the fourth power of the (interstitial)
oxygen concentration, while the maximum concentration is proportional
to the third power of the oxygen level. These and other properties of the
donor have been reviewed by Gosele and Tan. 55 The formation rate can be
as high as 1013carriers/cm3. sec, and donors can reach a maximum level of
'"\.,5 x 1016/cm 3. Evidently some electrically active complex of more than
one oxygen atom is responsible for the donor behavior. Based on the
formation kinetics, an Si0 4 complex was suggested by Kaiser, Frisch and
Reiss,56 although no reason for the electrical activity was suggested.
Oxygen is a double donor, with levels at 60 and 120 milli-electron volts.
Low-temperature infrared studies show that more than one donor state is
formed, depending on the time of heating at 450° C.5? Heating at tempera-
tures in excess of 500°C causes the donors to disappear. One practical
consequence of this phenomenon is the appearance of donors in as-
grown crystals, due to cooling of the ingot in the growth chamber. Most
wafer manufacturers use a heating step in the range 650-700°C to eliminate
donors formed during ingot cool-down. 58 Otherwise, the resistivity due to
intentionally added dopant would be impossible to measure. Both the
process of donor formation and an nih ilation appear to be due to some sort
of polymerization behavior which can lead to rods, platelets or other
shapes of SiO x precipitates at higher temperatures.
Recently, a convincing model for the oxygen donors was proposed by
Keller. 59 1n this model, three interstitial oxygen atoms surround a substitu-
tional oxygen species in next-near-neighbor locations. Nine configurations
are possible for a strain-free four-oxygen complex. The substitutional
Silicon Materials Technology 41
oxygen can release two valence electrons to the conduction band once
the strain is compensated. This is the first model to effectively combine all
the observations about the oxygen donor and lends additional credence to
the idea of interstitial and substitutional species existing independently in
the lattice.
One final point about the oxygen donor concerns its formation during
IC-chip fabrication. Device manufacturers often anneal or alloy wafers to
improve ohmic contact of aluminum to silicon, or to remove silicon-silicon
dioxide surface states in MOS gates orcapacitors.lfthe annealing temper-
ature is chosen to be 450°C, as is sometimes done, the resistivity of the
substrate can be su bstantially changed. A slightly lowertemperature, such
as 400°C, will avoid donor formation during this anneal step.
chosen so that precipitation occu rs throug hout the device-man ufactu ring
process. In general, these will differ significantly for bipolar and MOS
processes, and the sequence is usually tailored for each process line. It is
important to leave enoug h unpreci pitated oxygen so that the wafer retains
its mechanical strength and warpage is prevented. 28
wafe r surface
denuded zone
precipitates
Figure 28: Optical micrograph of angle-lapped and etched silicon wafer. Denuded
zone and bulk oxygen precipitates and stacking faults are seen. (Photograph
courtesy of W.M. Bullis.)
Silicon Materials Technology 43
REFERENCES
1. G.K. Teal, IEEE Transac. Electron. Dev. 23: 621 (1976).
2. W.R. Runyan,Silicon Semiconductor Technology, McGraw Hill, New York, 1965.
3. For a review of some of these techniques, see Electronic and Optical Properties
of Polycrystalline or Impure Semiconductors and Novel Silicon Growth Meth-
ods, K.V. Ravi and W. O'Mara, eds., Electrochem. Soc., New York, 1980.
4. W.C. Dash, J. Appl. Phys., 29: 228 (1958), J. Appl. Phys. 29: 736 (1958).
5. W.G. Pfann, Trans. A/ME 194: 747 (.1952).
6. B. Breitwieser, private communication.
7. T. Carlberg, T.B. King and A.F. Witt, J. Electrochem. Soc. 129: 189 (1982)
8. K Hoshikawa, H. Hirata, H. Nakanishi and K. Ikuta, in "Semiconductor
Silicon 1981," H.R. Huff, R.J. Kriegler, and Y. Takeishi, ed., Electrochem. Soc.,
New York, 1981, p. 101.
9. Y. Yatsurugi, N. Akiyana, Y. Endo, and T. Nozaki,J. Electrochem. Soc. 120: 1975
(1973).
10. R.J. Jaccodine and C.W. Pearce, in "Defects in Silicon," W.M. Bullis and L.C.
Kimerling ed., Electrochem. Soc. New York, 1983, p. 115.
11. W.C. O'Mara, in "Defects in Silicon," op cit., p. 120.
12. T.Abe, K. Kikuchi,andS. Shirai, in"SemiconductorSilicon 1977," R.H. Huffand E.
Sirtl, ed., Electrochem. Soc. New York, 1977, p. 95.
13. T. Abe, K. Kikuchi, S. Shirai, and S. Muraoka, in "Semiconductor Silicon 1981,"
H.R. Huff, R.J. Kriegler, and Y. Takeishi, ed., Electrochem. Soc., New York, 1981,
p.54.
14. W.C. 0' Mara and D. Guidici, Electrochem. Soc. Extended Abstracts, Spring 1979.
15. R. Walsh, private communication.
16. W. Kern and D. Puotinen, RCA Review 31: 1987 (1970).
17. W. Shockley, "Electrons and Holes in Semiconductors," Van Nostrand, New York,
1950, p. 6.
18. J.C. Irvin, Bell Sys. Tech. J. 41: 387 (1962).
19. W.R. Thurber, R.L. Mattis, Y.M. Liu, and J.J. Filliben, J. Electrochem. Soc. 127:
1807 (1 980).
44 Semiconductor Materials
20. W.R. Thurber, R.L. Mattis, Y.M. Liu, J.J. Filliben, ibid, 127: 2291 (1980).
21. D.M. Caughery and R.E. Thomas, Proc. IEEE 55: 219Z (1967).
22. P.A. Schumann, Jr., Solid State Technology, 13: 50, (January 1970).
23. J.P. Lavine, F.C. Lo, F. Moser, B.C. Barkey, and F.T.J. Smith, in Electronic and
Optical Properties of Polycrystalline Semiconductors, K.V. Ravi and W. 0' Mara,
ed., Electrochem. Soc., New York, 1980, p. 96.
24. ASTM Procedure F120-75 and F121-80, Amer. Soc. Test. Mat., Philadelphia, 1985.
25. ASTM Procedure F120-75 and F123-81, Amer. Soc. Test. Mat., Philadelphia, 1985
26. Kurt Peterson, Proc. IEEE 70: 429 (1982).
27. K.Sumino, in Semiconductor Silicon 1981, op cit. 208.
28. Y. Kondo, in "Semiconductor Silicon 1981" op cit., p. 220.
29. H.D. Chiou, J. Moody, R. Sandford, and F. Shimura, in VLSI Science and Tech-
nology/1984, K.E. Bean and G.A. Rozgonyi, eds., Electrochem. Soc., New York,
1984, p. 59.
30. C.W. Pearce and G.A. Rozgonyi, in Semiconductor Silicon 1977, H.R. Huff and E.
Sirtl, ed., Electrochem. Soc., New York, 1977, p. 606.
31. C.J. Varker and K.V. Ravi, in Semiconductor Silicon, 1977, op cit., p. 785.
32. K Daido, S. Shinoyama, and N.lnoue, in Rev. Elec. Comm. Labs (Japan) 27:33(1979).
33. G.A. Rozgonyi and T.E. Seidel, in Semiconductor Silicon, 1977, op cit., p. 616.
34. A list of etchants for revealing process-induced defects may be found in Solid
State Technol., Nov. 1983, p. 111.
35. G.A. Rozgonyi and C.W. Pearce, Appl. Phys. Lett. 32: 747 (1978).
36. R.A. Craven, T. Shimura, R.S. Hockett, L.W. Shive, P.W. Fraundorf, and G. Keefe-
Fraundorf, in VLSI Science and Technology/1984, KE. Bean and G.A. Rozgonyi,
ed., Electrochem. Soc., New York, 1984, p. 20.
37. W.E. Bailey, R.A. Bowling, and KE. Bean, in Defects in Silicon, op cit., p.204.
38. W. Kaiser and P.H. Keck, J. Appl. Phys. 28: 822 (1957).
39. J.R. Patel, in Semiconductor Silicon 1977, op cit., p. 521.
40. T. lizuka, S. Takasu, M. Tajima, T. Arai, T. Nozaki, N. Inoue, and M. Watanabe, in
Defects in Silicon, op cit., p. 265.
41. T. Nozaki, Y. Yatsurugi, and N. Akiyama, J. Radioanalyt. Chem.4: 87 (1970).
42. D.R. Bosomworth, W. Hayes, A.R.L. Spray, and G.D. Watkins, Proc. Roy. Soc.
A317: 133 (1970).
43. W.C. O'Mara, Electrochem. Soc. Extended Abstracts 80-1: 475 (May 1980).
44. H.J. Hrostowski and R.H. Kaiser, Phys. Rev. 107: 966 (1957).
45. R.C. Newman and J.B. Willis, J. Phys. Chem. Solids 26: 373 (1965).
46. S.D. Smith and J.F. Angress, Phys. Lett. 6: 131 (1963).
47. J.A Baker, T.N. Tucker, N.E. Moyer, and R.C. Buschert, J. Appl. Phys. 39: 4365 (1968).
48. W.L. Bond and W. Kaiser, J. Phys. Chem. Solids 16: 44 (1960).
49. R.A. Craven, in Semiconductor Silicon 1981, op cit., p. 254.
50. H.R. Hrostowski and W. Kaiser, J. Phys. Chem. Solids 9: 214 (1959).
51. Y. Takanoand M. Maki, in Semiconductor Silicon 1973, H.R. Huff and R.R. Burgess,
ed., Electrochem. Soc., New York, 1973, p.469.
52. J. Mikkelsen, Appl. Phys. Lett. 40: 336 (1982).
53. M. Stavola, J.R. Patel, L.C. Kimerling, and P.E. Freeland, Appl. Phys. Lett. 42: 73
(1983).
54. C.S. Fuller and R.A. Logan, J. Appl. Phys. 28: 1427 (1957).
55. U. Gosele and T.Y. Tan, Appl. Phys. A28: 79 (1982).
56. W. Kaiser, H.L. Frisch and H. Reiss, Phys. Rev. 112:1546 (1958).
57. D. Wruck and P. Gaworzewski, Phys. Stat. Solidi aS6: 557 (1979).
58. W.C. O'Mara, J.E. Parker, P. Butler, and A Gat, Appl. Phys. Lett. 46: 299 (1985).
59. W.W. Keller, J. Appl. Phys. 55: 3471 (1984).
60. N. Inoue, J. Osaka, and K. Wada, J. Electrochem. Soc. 129: 2780 (1982).
61. P.F. Schmidt and C.W. Pearce, J. Electrochem. Soc. 128: 630 (1981).
Silicon Materials Technology 45
62. R. Huff, H.F. Schaake, J.T. Robinson, S.C. Baber, and D. Wong, J. Electrochem.
Soc. 130: 1551 (1 983).
63. J.M. Andrews, in Defects in Silicon, op cit., p. 133.
64. H. Otsuka, K. Watanabe, H. Nishimura, H. Iwai and H. Nihira, IEEE-ED Lett 3:
1982 (1982).
65. C.N. Anagnostopoulos, E.T. Nelson, J.P. Lavine, K.Y. Wong and D.N. Nichols,
IEEE Trans. ED-31: 225 (1984).
2
Bruce E. Deal
Research Center
Fairchild Semiconductor Corporation
Palo Alto, California
• COMPONENTS IN DEVICES
• CORROSION PROTECTION
• DEVICE ISOLATION
• DOPANT DIFFUSION SOURCE
• GETTER IMPURITIES
• INCREASE BREAKDOWN VOLTAGE
• INSULATE METAL LAYERS
• MASK AGAINST DOPANTS
• MASK AGAINST IMPURITIES
• MASK AGAINST OXIDATION
• MECHANICAL PROTECTION
• PASSIVATE JUNCTIONS
• SMOOTH OUT TOPOGRAPHY
This chapter deals primarily with the thermal oxidation of silicon. The
kinetics of silicon thermal oxidation is first reviewed, with emphasis on the
general oxidation relationship and the thin oxide regime. Important oxide
properties are then summarized. Following is the most important part of
the chapter, a description of process variable-oxidation reaction inter-
dependencies. Some of the variables included are effects on silicon
surface properties (dopant redistribution, charges), surface property
effects on the oxidation process (orientation, doping, cleaning), and
ambient effects (type, chlorine addition, pressure). After a discussion of
oxidation mechanisms, the chapter concludes with a section on other
oxidation processes and an indication of thermal oxidation applications
and trends with respect to future semiconductor devices.
1J
2]
- (111) Si
o (l00) Si
0.5
E::i.
en 0.2
(f)
w
z
G 0.1
.-I
w 0.05
o
x
o
0.02
Figure 1: Oxide th ickness vs oxidation time for sil icon oxidation in dry oxygen
at various temperatures (after Hess and DeaI 10 ).
2.0-----.----.---.--.,...........r"'?""I""--~...,......--r-~"""'T"'T"~----r----,
1.5
1.0
0.5
E
::L
en
en
w
z
~
u
I
.-
-(111}Si
o (100) Si
Si02 Si
Partially
Ionized
Silicon
(a) Transfer of the oxidant from the gas phase to the oxide
outer surface:
F1 ~ h (c* - Co) 3J
where h is a gas-phase transport coefficient, Co is the
concentration of the oxidant in the outer oxide surface,
and C* is the equilibrium concentration of the oxidant in
the oxide, and assumed to be proportional to the partial
pressure of the oxidant in the gas ambient.
(b) Diffusion of the oxidizing species through the oxide to the
silicon:
F
2
= -Deff Co-Ci
x
o
where Deft is the effective diffusion coefficient of the
oxidizing species in the oxide, C 2 is the oxidant concentra-
tion in the oxide near the Si-Si0 2 interface, and Xo is the
oxide thickness.
(c) Reaction of oxidizing species with silicon at the Si-Si0 2
interface to form Si0 2 :
F s: k C1 [ 5J
3
where k is the interface reaction rate constant.
Thermal Oxidation 51
x 2 -= Bt [10J
o
axis at about x = 150A. Thus, in the absence of a model for oxidation in this
region, the practice has been to assign a value of r corresponding to Xi =
150A. Further discussion on the mechanism of thermal oxidation forthese
very thin oxides is presented in the next section.
The thermal oxidation of silicon can be represented by Equation 6 fora
wide range of temperatures, oxide thicknesses, orientations, and oxidation
ambients, provided the dependence of the rate constants Band BI A as a
function of these variables is known. Values of the rate constants have
been determined by rearranging the general relationship Equation 6 into a
linear expression, plotting Xo vs (t+r)/x o and extracting B as the slope and
-A as the intercept from the resulting plots. Arrhenius expressions of the
form
have been used in plotting log B and log BI A vs 1 IT. Such plots are
presented in Figures 4 and 5, and values of the constants for the Arrhenius
expression are tabulated in Table 2. These data can be used to determine
any thickness-time relationship for a given set of oxidation conditions.
Similar data are incorporated in the SUPREM program 13 and related
computer process modeling programs.
1.0
~
~
'"E
C\I
0.001
0.6 0.7 0.8 0.9 1.0
1000/T (OK)
~
~
E 1.0
~
~
m
t- 0.1
z
~
c.n
8 • (111) Si
0(100) Si
w 0.01
~
a::
B/A (111)
a:: B/A (100) =1.68
<X
~ 0.001
:J
Figure 5: Dependence of the linear rate constant BfA on temperature for the
thermal oxidation of sil icon in pyrogenic steam (~640 Torr) and dry oxygen
ll
(after Deal ). Reprinted by permission of the publisher The Electrochemical
I
Society Inc.
I
LINEAR
(111) SILICON
DRY 02 C1 =7.72x10 2 p.m 2 /hr
C2 = 6.23 x 10 6 ~m/hr
E 1 = 1.23 eV
E2 = 2.0 eV
STEAM C1 = 3.86 x 10 2 l1m2/hr
(PYROGENIC)
C2 = 1.63 x 10 8 p.m/hr
E 1 =0.78 eV
E2 = 2.05 eV
[13 ]
In this expression, the first term on the right-hand side is the contribution
from the original linear-parabolic model. The second term incorporating L 1
is possibly related to effects of residue left on the silicon surface from the
cleaning treatment (X o :::; 15A). The contribution of L2 has not yet been
explained. Subsequently, Han and Helms 18 have proposedthata mechanism
based on parallel diffusion reactions provide even a better fit to oxidation
data over the entire thickness range.
It is important for future applications of devices having sub-micrometer
feature sizes and film thicknesses in the nanometer range (especially
MOS gate and capacitor oxides), that reaction mechanisms be understood
and characterized for oxides in the very initial stages of formation. The
investigations described are a good step in that direction.
Physical Properties
Formula
Melting point
El.ectrical Properties
Energy gap _8 eV
Optical Properties
Refractive index °
1.462 at 5459 A
Chemical Properties
Water solubility
structure. 21 ,22 These charges and their locations are indicated in Figure 7,
which is a representation of an oxide cross section similar to that shown in
Figure 3. The symbols selected to denote these charges 23 are based on
the following:
GENERAL RELATIONSHIP
(Xo - Xi)
+ BIA
TRANSITION
REGION
I
\SiO x Si
I
I
@
MOBILE IONIC
CHARGE Om ++++
OXIDE TRAPPED FIXED OXIDE
CHARGE,Oot CHARGE, Of
Figure 7: Names and location of charges associated with the thermally oxidized
sil icon structure.
58 Semiconductor Materials
where LlV is the difference between flatband voltages of C-V plots after
positive and negative stress tests. The conditions for the test are normally
+50 and -25 V/p.,m at 300°C for 2 minutes. An example of Nf and N m
measurement using C-V analysis is presented in Figure 8, where C-V plots
before and after bias-temperature stress tests are shown.
"" ~ THEORY
AFTER~\
POS. BIAS \ INITIAL
\
CIC o \----Qm/Co-+-~--.
\ (l::.V)
I
\
\
'- - - ----=---~--~--
Figure 8: The determination of fixed oxide charge density Of and mobile ionic
charge density Om in thermal silicon dioxide using the MOS capacitance-voltage
technique.
A. m< 1 B. m< 1
DIFFUSION IN DIFFUSION IN
OXIDE SLOW OXIDE FAST
(BORON) (BORON IN H2)
C. m >1 D. m >1
DIFFUSION IN DIFFUSION IN
OXIDE SLOW OXIDE FAST
(PHOSPHORUS. ARSENIC. (GALLIUM)
ANTIMONY)
(f)
(f)
w
z
~
~ 1000A
t-
PHOS. CONC. (cm- ~
w F
o F 3.2 I 10 20
g E 2.8 I 1020
o 1.8 I 10 20
C 7 I 10 19
B 5 I 10 19
A 1 I 10 15
100A L...---l-_L....-J,.....J.....~--L-_1..--J.--L-~--L-_~---...
10' 102
OXIDATION TIME
Figure 10: Oxide thickness vs oxidation time for oxidation time for silicon oxi-
dation in dry oxygen at 800° and 1100°C using (111) sil icon substrates doped
with phosphorus up to solid solubility (after Ho et aI 39 ).
64 Semiconductor Materials
1400
H2 S 04: H 202
1200 CLEAN
NO CLEAN
€ 1000
en
en
w 800 NH 4 0H : H 2 0 2 : H 2 O
z
~
(,) CLEAN
...
i: 600
w
c
x
0
400 1000°C
DRY 02
(100) Si
200 n-TYPE, 2-8.n em
0
0 20 40 60 80 100 120 140 160 180 200
OXIDATION TIME (min)
Figure 11: Effect of pre-oxidation cleaning process on oxide growth rate (after
Schwettmann et aI 4O ).
Thermal Oxidation 65
[16J
(i)
....
z
;:)
>-
a:::
<X
a:::
....
CD
a:::
~
....::I:
l!)
Lij
::I:
~ o . •
~·••"'~':··"-"';:';:"'V:""t"''.''\o
<X
W
a.. ....•
o
....
~
<X
W
a..
1100
N'2
I
[3
It')
g
01
00 500 1000
Xo (1)
B. CHLORINE CONCENTRATION VS OXIDE THICKNESS
Figure 12: Auger sputter profile (A) and chlorine concentration vs oxide thick-
ness (8) for thermal oxide prepared in 5% HCI/02 ambient at 11 OO°C using (100)
sil icon (after Rouse et aI 43 ).
PYROGENIC STEAM
900°C
2
E
3-
0
)(
1.0
en
C/)
w
z
~
0.5 I
()
i:
l-
I
w
c 0.2
I
x
0
I
0.1 .(111)1
0(100)1
12 hr
0.05
0.2 0.5 1.0 2 5 10
OXDATION TIME, t (hr)
Figure 13: Oxide thickness vs oxidation time for silicon oxidation in pyrogenic
steam ('""640 Torr) at 900°C and various pressures (after Razouk et aI 53 ). Re-
printed by permission of the publisher, The Electrochemical Society, Inc.
5. OXIDATION MECHANISM
Si
Figure 14: Proposed mechanisms occurring at the Si-Si02 interface during sili-
con thermal oxidation (after Plummer ss ). These figures were originally presented
at the Spring 1981 Meeting of The Electrochemical Society, Inc., held in Minne-
apolis Minnesota.
[18]
from these various types of analysis have been correlated with those
obtained using improved electrical techniques such as quasistatic C-V,
DLTS, and conductance-voltage measurements. All of these plus actual
device measurements have provided considerable insight into the exact
nature of the Si-Si0 2 interface. It is not possible here to reference even a
small number of the papers concerning the evaluation of the Si-Si0 2
interface; however, some of the more comprehensive reviews on the
subject of surface and interface analysis may be consulted. 63- 65
The current understanding of the nature of the Si-Si0 2 interface in
thermally oxidized silicon may be summarized as follows. First, it is generally
agreed that the transition region between silicon and the bulk oxide is no
more than 1OAor even one ortwo monolayers.ln this region, the composi-
tion changes rapidly from Si to Si0 2 ; and the oxide is apparently crystalline
in nature immediately adjacent to the silicon. As a result, the physical,
electrical, and chemical properties of the oxide in this transition region are
markedly different from those of amorphous Si0 2 and affect the net
properties of oxides up to 200A or more. There is also a good possibility
that the Si-O bond angles in the transition region and beyond (up to 50A)
are strained, which can also affect oxide properties.
Depending on the oxidation conditions and the silicon orientation, a
limited number of silicon atoms at the silicon surface (as few as one in 10 5 )
might not be bonded to oxygen and thus could act as trapping sites (Oit).
Similarly, some of the silicon atoms on the oxide side of the interface might
be disconnected from adjacent oxygen ions (or certain oxygen atoms
might be missing) and these silicon species could also act as charge or
trapping sites (Of). Although these specific defects or trapping sites have
yet to be positively observed, the evidence for their presence is fairly
conclusive as a result of recent investigations. 18,19,66,69 A proposed cross
section structure of the Si-Si0 2 interface region is presented in Fig. 15,
which includes the possible origin of the four types of oxide charges. This
I /1-", I /~-'\ 1
o /0 \ 0 I N~ \ 0
( " \ \ 8)
I I " +Notl I \~nJ/ I
THERMAL -5i - 0 --\ 5i -r 0 - 5i - 0 - 5i-
Si02 I \,1_// I I
-~- 0 0 0 0
I I /1-'\ I
1
TRANSITION
- 5i - 0 - Si - 0 - ( 5i+
\ I Of /
+- 0 - 5i-
REGION \ I
~-
0
I.
/-"
"\
0
I
/~~, 0
I I Nit \ I H I Nit \ H I
• ( J • I. ~ I.) I. .
51 - \ Fe / - 51 - 51 - \ 51 - j- 51 - 51-
1 'T/ '-I~/
SILICON
- 5i - 5i - 5i - 5i - 5i - 5i - 5i -
I 1 I I I I I 1
concept of the Si-Si0 2 interface structure is the most likely to date. As more
sophisticated analysis equipment is developed, it is reasonable to assume
that a more accurate description of the Si-Si0 2 interface will emerge.
Under any consideration, this interface will playa most important role in
future semiconductor devices.
280
240
200
Ec
~ 160
)(
2 8
Figure 16: Oxide thickness vs oxidation time for silicon oxidation in dry oxy-
gen plasma (30 mTorr, 1 kW, 0.5 MHz) (after Ray and Reisman 70). Reprinted by
permission of the publisher, The Electrochemical Society, Inc.
Thermal Oxidation 73
Si02
-_;:~,J~J--~l-:>, Poly-Si
POLYCRYSTALLINE SILICON
Si + O2 -""Si0 2
Si
Si02
Si3 N4
SILICON NITRIDE
B. Si02 Si 3 N4 + 3 02 --3 Si0 2 + 2 N2
Si
Si02
TaSi2
C. Poly-Si TANTALUM SILICIDE
Si02 TaSi2 + Si + 02 ~ Si02 + TaSi2
Si
reaction. Analysis of the data indicates that the rate determining step is
primarily diffusion of the oxidizing species through the oxide. Values of B,
the parabolic rate constant are almost identical to those obtained for
conventional silicon oxidation, while BfA values are much higher.7 7 - 79 If no
silicon is present beneath the silicide, the resulting oxides are mixtures of
refractory metal and silicon oxides and are generally not stable or repro-
ducible.
Typical thickness-time data for the thermal oxidation of tantalum
silicide deposited over polycrystalline silicon are shown in Figure 18.77
Single crystal silicon oxidation data are included in the figure for comparison.
o (100) Si
0.5 • ToSi2 on Poly - Si
E
~
o 0.2
)(
en
en
~ 0.1
~
~
:I:
~ 0.05
UJ
9
x
o
0.02
Figure 18: Oxide th ickness vs oxidation time for thermal oxidation of TaSi 2 /poly-
Si structure in dry oxygen at various temperatures (after Razouk et aI 77 ).
7. FUTURETRENDS
into the submicrometer region. Continuing device scaling will require even
thinner, more reproducible oxides for gates in MOS structures. This implies
improvements or modifications in several areas. First, oxide thickness will
have to be controlled to even closer dimensions than it is now. Because of
differences in optical and electrical properties of thin thermal oxides,
improved or new thickness measurement techniques will have to be
developed. Equally important will be the need to better understand the
oxidation kinetics in the thin region so that control and reproducibility of
the oxide thickness can be achieved.
It will also be necessary to control and understand the effects of
process variables on other oxide properties such as electrical conductivity
and oxide charge formation. Equally important will be minimization of
defects, pinholes, and the like in these ultrathin films. Control of all these
oxide properties implies a better understanding of the Si-Si0 2 interface
region. As device dimensions reach a critical minimum size, statistical
variations in individual oxide charge densities may not permit specific bits
of the device to function.
With respect to thicker oxides used in future device structures, pro-
cedures will have to be developed to minimize or even eliminate oxide
encroachment. Up to now, oxides used to isolate the individual devices
have exhibited some form of "birdsbeak." Since this encroachment can be
of the order of a micrometer, it is obvious that this much "lost" area cannot
be tolerated in submicrometer structures. These oxide isolation problems
are being solved in part by (1) the use of other types of nitride masking
procedures which retard encroachment,82 (2) the fabrication of etched
trench structures which can be filled byvarious types of dielectric materials,83
or (3) selective epitaxial growth of silicon within insulating walls of silicon
oxide. 84
The final answer to controlling all the above properties may lie in our
ability to properly model the oxidation process itself and the resulting
effects on the oxide properties, and ultimately, the device parameters.
Since most future devices will involve very complex, three dimensional
configurations, our ability to model multidimensional aspects (two and
three dimensional) of oxide formation must be greatly improved. Such
modeling will of course be based on advanced computer techniques.
The trend for all semiconductor processing of the future includes
lower temperatures and shorter times-required for maintaining the ex-
tremely small structures in VLSI devices. This may be accomplished by the
use of high pressure and/or plasma-assisted oxidation. More reliable
devices with better performance and tighter specifications have been
produced by the use of chlorine in the oxidation ambient. More of these
types of improved oxidation techniques can be expected in the future.
Finally, although thermal oxides, and silicon semiconductors, have
been the mainstay of device tech nology for more than twenty-five years, Si02
will only be used in the future if it continues to satisfy the technological
requirements. For specific applications involving MOS gate structures,
other dielectrics such as thermal silicon nitride are being investigated.
Whether these or other materials replace thermal oxides in certain cases
remains to be seen. Similarly, it is reasonably certain that other semi-
Thermal Oxidation 77
conductors, e.g. GaAs, GaAIP, etc., will be used for various applications,
including integrated circuits. It is reasonably certain, however, that both
silicon and thermal silicon dioxide will continue to play major roles in
semiconductor technology.
REFERENCES
1. C.J. Frosch, and L. Derick, J. Electrochem. Soc. 104: 547-52 (1957).
2. M.M. Atalla, E. Tannenbaum and E.J. Scheibner, Bell Sys. Tech. J. 38: 749-84
(1959).
3. J. R. Ligenza and W.G. Spitzer, J. Phys. Chem. Solids 14: 131-36 (1960).
4. J.A. Hoerni, paper presented atthe IRE Electron Devices meeting, Washington,
D.C., Oct. 1960; U.S. Patents 3,025,589 (1962) and 3,064,167 (1962).
5. D. Kahng and M.M. Atalla, paper presented at the IRE Solid State Device
Research Conference, Pittsburgh, PA, June 1960.
6. W.A. Pliskin and R.A. Gdu la, Handbookon Semiconductors (T.S. Moss, ed.), vol. 3,
Materials, Properties, and Preparation (S.P. Keller, ed.), pp 641-687, North-
Holland Publishing Co., Amsterdam (1980).
7. E.H. Nicollian and J.R. Brews, MOS Physics and Technology, New York: John
Wiley (1981); S.A Schwarz and M.J. Schulz, in: VLSI Electronics Microstructure,
Vol. 10 (N.G. Einsbruch and R.S. Bauer, eds) pp 29-77, Academic Press,
Orlando(1985); G. Barbottin and VapaJlle, Instabilities in Silicon Devices Vo/s.
1 and 2, Amsterdam: Elsevier (1986); F.P. Fehlner, Low Temperature Oxidation,
pp 211-247, Wiley-Interscience, New York (1985).
8. P.J. Jorgensen, J. Chem. Phys. 37: 874-77 (1962).
9. R.M. McLouski, Paper No. 177 presented at The Fall Meeting of The Electro-
chemical Society, Chicago, IL., Oct. 15-20, 1967.
10. D.W. Hess and B.E. Deal, J. Electrochem. Soc. 124: 735-39 (1977).
11. B.E. Deal, J. Electrochem. Soc. 125: 576-79 (1978)
12. B.E. Deal and A.S. Grove, J. Appl. Phys. 36: 3770-78 (1965).
13. R.W. Dutton, et aI., IEEE J. Solid State Circuits SC-12: 349-55 (1977); Proc. IEEE
69: 1305-20 (1981).
14. Y.J. Van der Meulen, J. Electrochem. Soc. 119: 530-34 (1972).
15. R. Ghez and Y.J. Van der Meulen, J. Electrochem. Soc. 119: 1100-06 (1972)
16. J. Blanc, Appl. Phys. Lett. 33: 424-6 (1978).
17. H.Z. Massoud, J.D. Plummer and E.A Irene, J. Electrochem Soc., 132:2685-2700
(1985).
18. C.J. Han and C.R. Helms, J. Electrochem. Soc., to be published.
19. S.T. Pantelides, (ed.), The Physics of Si0 2 and its Interfaces, New York:
Pergamon Press (1978); G. Lucovsky, S.T. Pantelides and F.L. Galeener, (eds),
The Physics of MOS Insulators, New York: Pergaman Press (1980).
20. See for instance C.M. Osburn and D.W. Ormond, J. Electrochem. Soc. 119: 591-
97,597-603 (1972); P. Solomon, J. Vac. Sci. Tech. 14: 1122-30 (1977).
21. B.E. Deal, M. Sklar, A.S. Grove and E.H. Snow, J. Electrochem. Soc. 114: 266-74
(1967).
22. Y.C. Cheng, Prog. Surface Science 8: 181-218 (1977).
23. B.E. Deal, J. Electrochem. Soc. 127: 979-81 (1980); IEEE Trans. Electron Devices
ED-27: 606-8 (1980).
24. B.E. Deal, J. Electrochem. Soc. 121: 198C-205C (1974).
25. J.R. Davis, Instabilities in MOS Devices, New York: Gordon and Breach Science
Publishers (1981).
78 Semiconductor Materials
26. P. Balk and J.M. Eldridge, Proc. IEEE 57: 1558-63 (1969).
27. For a discussion of trapping in silicon oxides, see: special issues on device
radiation effects, IEEE Trans. Nucl. Sci., Dec. issues, Vols. NS 21-28, 1974-81 ;
C.T. Sah, IEEE Trans. Nucl. Sci. NS-23: 1563-68 (1976); W.R. Dawes, Jr., G.F.
Derbenwick and B.L. Gregory, IEEE J. Solid-State Circuits SC-11: 459-65
(1976).
28. R.R. Razouk and B.E. Deal, DARPA Final Technical Report No. NR 322-080,
Contract No. N00014-79-C-0297, April 1982.
29. D.R. Young, J. Appl. Phys. 52: 4090-4 (1981).
30. D.J. Bartelink, in: Integrated Circuit Process Models (J.D. Meindl and K.C.
Saraswat, eds.), Chapt. 15, Englewood Cliffs, NJ: Prentice-Hall, Inc., to be
published.
31. A. Goetzberger, E. Klausmann and M.J. Schulz, CRC Crit. Reviews Solid State
Science 6: 1-43 (1976).
32. A.S. Grove, B.E. Deal, E.H. Snow and C.T. Sah, Solid-State Electronics 8: 145-63
(1965).
33. K.H. Zaininger and F.P. Heiman, Solid-State Tech. 13 (5): 49-55 (1970); 13 (6):
46-55 (1970).
34. R.R. Razouk and B. E. Deal, J. Electrochem. Soc. 129: 806-810 (1982).
35. A.S. Grove, O. Leistiko, Jr. and C.T. Sah, J. Appl. Phys. 35: 2695-701 (1964).
36. J.R. Ligenza, J. Phys. Chem. 65: 2011-14 (1961).
37. W.A. Pliskin, IBM J. Rsch. Dev. 10: 198-206 (1966).
38. B.E. Deal, and M. Sklar, J. Electrochem. Soc. 112: 430-35 (1965).
39. C.P. Hoand J.D. Plummer,J. Electrochem. Soc. 125: 665-71 (1978); 126: 1516-
30 (1979).
40. F.N. Schwettmann, K.L. Chaing and W.A. Brown, Paper No. 276 in The Spring
Meeting of The Electrochemical Society, Seattle, WA, May 21-26, 1978.
41. R.J. Kriegler, Y.G. Cheng and D.R. Colton, J. Electrochem. Soc. 119: 388-96
(1972).
42. B.R. Singh and P. Balk, J.Electrochem. Soc. 125: 453-61 (1978).
43. J.W. Rouse, C.R. Helms, B.E. Deal and R.R. Razouk, J. Electrochem. Soc.,
131: 887-894 (1984).
44. S.1. Raider, R.A. Gdula and J.R. Petrak, Appl. Phys. Lett. 27: 150-52 (1975).
45. T.lto, S. Hijiya, T. Nozaki, H. Arakawa, M. Shinoda and Y. Fukukawa, J. Electrochem.
Soc. 125: 448-52 (1978).
46. T. Ito, I. Kato, T. Nozaki, T. Nakamura and H. Ishikawa,Appl. Phys. Lett. 38: 370-72
(1981 ).
47. T. Ito, T. Nozaki and H. Ishikawa, J. Electrochem. Soc. 127: 2053-57 (1980).
48. S.S. Wong, C.G. Sodini, T.W. Ekstedt, H.R. Grinolds, K.H. Jackson, S.H. Kwan and
W.G. Oldham, J. Electrochem. Soc. 130: 1139-44 (1983).
49. P.T. Panousis and M. Schneider, Paper No. 53 presented at The Spring Meeting
of The Electrochemical Society, Chicago, IL, May 13-18,1973.
50. R. Champagne and M. Toole, Solid State Tech. 20(12): 61-63 (1977).
51. N. Tsubouchi, H. Miyoshi, A. Nishimoto and H. Abe, Jap. J. Appl. Phys. 16: 855-56
(1977).
52. R.J. Zeto, N.D. Korolkoff and S. Marshall, Solid State Tech. 22(7): 62-69 (1979).
53. R.R. Razouk, L.N. Lie and B.E. Deal, J. Electrochem. Soc. 128: 2214-20 (1981).
54. L.N. Lie, R.R. Razouk and B.E. Deal, J. Electrochem. Soc. 129: 2828-34 (1982).
55. J.D. Plummer, in Semiconductor Silicon 1981 (H.R. Huff, R.V. Kriegler and Y.
Takeishi, eds.) pp 445-54, The Electrochemical Society, Pennington, NJ
(1981 ).
56. R.B. Fair, J. Electrochem. Soc. 128: 1360-68 (1981).
57. A. Lin, D.A. Antoniadis and R.W. Dutton, Appl. Phys. Lett. 35: 799-801 (1979);
J. Electrochem. Soc. 128: 1131-37 (1981).
Thermal Oxidation 79
Kenneth E. Be~'n
Texas Instruments Incorporated
Dallas, Texas
INTRODUCTION
• SYNTHESIS
• THIN FILMS
EPITAXY
POLY
• OXIDES
• NITRIDES
• CARBIDES
• SILICIDES
80
Chemical Vapor Deposition 81
• SILICON SYNTHESIS
SiCI4 + H2
SiHCI3 + H2 }
CVD/FLUID BED
SiH4 + H2
• EPITAXIAL SILICON AND POLYSILICON, PROCESSES
H2 + SiCI4
H2 + SiHCI3
H2 + SiH2CI2
H2 + SiH4
He + SiH4
SILICON NITRIDE THIN FILM DEPOSITION MASK FOR DIFF USION, IMPLANT, OR
FROM AMMONIA ANO PREFERENTIAL OXIDATION.
(S,H. OR SiH2CI2) ETCH STOP, DIELECTRIC FILM.
SURFACE PASSIVATION LEADS OVERCOAT.
the process by which the technology is formed, and the uses of this
technology in silicon manufacturing. In the synthesis of ultra-high purity
elemental silicon fortoday's semiconductor manufacturing, we may begin
the process with the hydrogen reduction of an ultra-high purity silicon
halide, such as (SiCI 4) or (SiHCI 3 ) 1-4 by CVD of the elemental silicon, on a
high purity silicon rod such as that shown in (a) of Figure 1. This high
temperature reduction takes place in an all quartz system under very
precisely controlled high purity gas flow conditions. When this CVD reaction
has reached completion we will have obtained a polycrystalline rod similar
to the section shown in (b) of Figure 1. This high purity polycrystalline
silicon material is then broken into small pieces, placed in a high purity
quartz liner or crucible which is then heated to the melting point of silicon,
1420°C. After the thermal stability of the molten silicon pool is established,
a carefully oriented seed, cut from single crystal silicon of the desired
crystal orientation, is dipped into this molten silicon and then slowly
rotated and withdrawn to grow the single crystal of the desired diameter. 5
This melt is carefully doped to provide the desired conductivity type and
resistivity forthe slices or substrates. Figure 1c shows the top, or seed end,
of a single crystal of silicon and Figure 1d shows a sawed slice from such a
crystal. The standard diameter of the silicon slice used by most silicon
device or integrated circuit manufacturers today is 125 mm plus or minus
25 mm while 200 mm is being developed. After the crystal is grown and
sliced by the use of diamond saws the slices are ground, lapped and
chemically/mechanically (chem/mech) polished to remove all surface
damage introduced by the sawing, lapping and polishing operation.
EPITAXIAL DEPOSITION
~
Q)
+J
Q)
E
,~
"C
E
E
LO
N
"C
Q)
..c
en
'0
0.
"C
C
m
"C
Q)
$
m
_en
...
en
'en
Q)
..c
+J
C
>-
en
~
o
0.
C')
C
'Vi
en
Q)
U
o
1-
0.
C
o
,~
US
84 Semiconductor Materials
Table 4: Epitaxy
• The sil icon epitaxial layer, or layers, is usually the only active
semiconductor material in the device or circuit.
Epitaxial Film
-=---- Substrate
~- 0
SINGLE XTAL SUBSTRATE (111) 3-5 OFF, (100) 00 OFF
Figure 2: Epitaxy .
-=
_~---B1-
~~
DAMAGE LAYER REMOVED BY
HCI ETCH IN SITU
~~~AXIALFILM
SUBSTRATE
In the early days of silicon epitaxy most of the work or efforts were
aimed towards the deposition of thin films on (111 )11 silicon substrates by
the hydrogen reduction of silicon tetrachloride (SiCI 4 ), or the silicon tetra-
bromide (SiBr4 ). In other attempts silicon tetraiodide (SiI 4 ) was also used.
Table 5 lists the silicon bearing halides and silicon hydrides in the order of
use historically and also in the order of descending energy or temperature
required for the reduction. In production today most people use silicon
dichlorosilane (SiH 2 CI 2 ). This material readily decomposes at about 10S0°C.
Silicon hydride (SiH 4 ) decomposes at an even lower temperature. However
there are problems in the epitaxial deposition of thick films using (SiH 4 )
due to thermal decomposition in the vapor phase. When this occurs above
the epitaxial substrate particles form in the gas phase which fall on the
substrate resulting in the formation of spurious nucleation sites. It should
also be noted that trich lorosilane (SiHCI 3), tribromosi lane (Si HBr3)' Silane
86 Semiconductor Materials
• Silicon Source-Halides-Hydride
• SiCl 4-Early
SiBr4
Sil 4
• SiHCI 3
SiHBr3
• SiH 4
• SiH 2 CI 2
• Reduction Source-H 2
• Vapor Etching-HCI
• SiCI 4
• SF 6
• H2 0
• H2
DEPOSITION
12
11
10
9
8
c
·E
-.....
7
E
:i. 6
I
w 5
I-
er 4
a:
3
2rJfirsii\iGtE~~~C.L.L.~~ZZ272sUZ
1
o
-1 _...&.---A---'-_.& ...a.--.a.... -.lL-..L-~--L.-__a..._.&_.a.._J
+
2
ETCHING
4 6 8 10 12 14 16 18 20 22 24 26 28 30 32
MOLE %Si HALIDE
Figure 4: Deposition and etch rate vs mol % silicon halide. The deposition rate
is also affected by reactor design. Curves A and B are from a vertical reactor.
Curve C is from a multiple slice vertical reactor in which each slice rotates on its
own s~seeptor. Curve D is data from Henry Therur of Bell Labs using a single
sl ice vertical reactor.
BIPOLAR PROCESS 00
MOS PROCESS 00
PHOS N+ SOUHCEj
REGROWN
!:PHOS OXIDE
I
-L p+--'J PHDSN+~
E ~ Ie=: :5-J~ en
CD
3(5.
I) (100) P+ SUBSTRATE DRAIN
f) SELF AliGNED·SOURCE·
ii) SUBSTRATE
X1DE
~ o
o °p o EPITAXY 0 DRAIN .-REFlOW OXIDE I ~ .c N
+ ~~ el BASE DIFFUSION
::J
C.
C
,.....
(')
I L-
0 0 0 00
~ r:J~
p+
__
00 000
0 0 0 0 0
0 o
""""l
~
I
E
b) DUF fl)
,.....
g) PHOS OXIDE
G
I
rEPITAXY
~
en
N.EP~::~;:\ ~
c:) OXIDATION
GATE OXIDE I cl
~~
h) CONTACT OR
glCONTACTOR
I
d) GATE OXIDE GATE OXIDE
POLYSILICON GATE /REMOVED I
~~
J""'"f-W~r'-II--~Sr44L~~~""'~~'+-4
d) ISO LATI 0N DIFF USI 0N
WJa ~ .tFIm
i) METALLIZATION
I) ACTIVE AREA DEFINITION h) METALLIZATION
1
2.0
01.9pm 2.0P~
1.8pm . p 1.8pm
c
'E "1.5
E::i.
w·
r- 1.0
<I:
0:
I
~ 0.5
w
EMISSIVITY UNCORRECTED
0.048 pm
1000 1050 1100 1150 1200 1250
TEMPERATURE,oC
~ 5
r-
z
~ 4
0::
W
a..
~ 3
::J USEFUL
--l
o POLISHING
> REGION
°c
1300 900 800 700 600
1
0.5
OW
~~
_0: 0.2
-1:1:
e::t:.-
~s: 0.1
0: 0
00:
2e,:,
0.05
1 0.02
0.01
0.7 0.8 0.9 1.0 1.1
10 3
---+ - -
(T/K)
GETTERING
Q)
E c
L.L
o c
N o
co "0 .~
Q)
X
co "0
::J
en
.~ C ~
Co Q)
::J
UJ o co
~
~ j.~
a..
X
o
M
CD
Q)
+-I
co
~
+-I
en
..c
::J
en
"'C
Q)
"'C
::J
c:
Q)
"'C
c:
o
>
x
co
+-I
'0.
(l)
I
c:
o
'+:i
u
(l)
en
en
en
o
~
u
"'C
(l)
>
co
(l)
U
Chemical Vapor Deposition 93
Figure 10: (a) 90° cleaved cross section, epitaxy on denuded substrate with back-
side-gettering poly Si. layer. 198X P.C. 5 minute W.J. etch. (b) (c) Misfit disloca-
tion extrinsic gettering.
94 Semiconductor Materials
all the way from the front surface to the back surface where they are
trapped at these defect (gettering) sites.
Figure 10a shows a cross-section of a cleaved slice which has a
gettering region at the back surface, a denuded zone just above the
backside gettering media, the high density bulk defect area of the slice,
and then at the top surface a denuded zone just below the epitaxial-
substrate interface. Agai n, a row of defects is noticable at the epi su bstrate
interface indicating that this substrate had insufficient or no HCI vapor
etching, in situ, prior to the epitaxial deposition. This also shows that one
could build in intrinsic gettering at desired positions immediately below
the active device region of the semiconductor circuit. This type ofgettering
immediately below the active surface area is very effective 18 and can also
be designed in discretionarily to provide gettering only at the desired
circuit areas. Figure 1Oa is a cleaved cross-sectional view which required
no polishing or potting prior to the Wright-Jenkins etch to delineate the
defect region, the denuded zone, and the epitaxial layer as well as the back
side gettering polycrystalline silicon film.
As mentioned above, in-situ HCI vapor etch ing will remove the damage
sites and/or surface traps prior to epitaxy. However, one may wish to leave
or form a new damage layer, for low temperature processing intrinsic
gettering, in near proximityto the active device region of the structure. If so,
a film can be deposited between the substrate and the epitaxial film which
is intentionally doped with, for example germanium, to produce a built-in
misfit dislocation strain field. 10,17,18 Figure 108 shows a cleaved cross-
sectional view of a single layer misfit dislocation, extrinsic gettering strain
field, and a single layer epitaxial film. Figure 10c shows experimental
multiple layers of strain field/epitaxial silicon films with increasing Ge
doping in the strain fields as they were deposited. This increase in Ge
doping causes a noticeable increase in the density of misfit dislocations
within the strain field layers. Wright-Jenkins etch was used to reveal these
damage sites.
SELECTIVE DEPOSITION
><
I"-
co
M
~
o
U5
c
o
V). .
c
e
-c
(])
..c
C'C
~
u
o
en"
(])
.~
C'C
~
en
>
l0-
U
C
o
.~
US
96 Semiconductor Materials
the oxide pinhole. Note also in this figure that the surrounding area is
completely free of polycrystalline silicon deposition or nucleation on the
oxide. This indicates that the silicon atoms, above the oxide area, moved to
a preferred site in the open silicon area. We can take advantage of this
atomic mobility for preferential deposition of silicon at desired sites on an
otherwise oxide or nitride covered mask substrate. Such a mask is shown
in Figure 12. Shown in this figure are five micron diameter circles, on 25
micron centers, opened up through an oxide or a nitride mask on a silicon
substrate. The goal in this experiment is to preferentially deposit epitaxial
silicon in the five micron diameter circles but have no poly silicon nucleate
on the oxide. An epitaxial diode will be formed at the interface of the
original p substrate with the n epi deposit. After the epitaxial growth has
proceeded up through the mask, in this case oxide, lateral spreading
occurs over the oxide to form a large area "epi top" for electron beam
charging. This process provides a very small area p-n junction diode with
very low parasitic capacitance but with a large "epi top" beam collection
area for the production of Vidicon type detectors. In this process the
preferential deposition must be very complete in that single crystal silicon
is nucleated in the open areas. No spurious nucleation of polycrystalline
silicon can be tolerated on the oxide which would bridge across two diode
"epi tops" thus causing a defect in the array. The diode density in this array
is one million diodes per square inch.
Figure 13 is a top view of such an array after preferential deposition.
The (1 00) structure is clearly evident in the epitaxial "epi tops". "Epi tops"
deposited on (111) substrates show an equilateral triangle structure
whereas the (100) substrate gives the perfect square "epi top" orientation.
Figures 14a and 14b show two SEM photographs with 14a being a low
angle SEM of the cross-sectioned substrate/epi structure and 14b being a
near 90 degree cross-section after the oxide had been etched away. In
14a, the original diode area can be seen as well as the mask oxide which
has been broken away with the cleavage of the slice. In the cross-section at
14b the original diode structure or size can be seen at the substrate
interface. The lateral spreading, in all directions, over the oxide is approxi-
mately equal to the diameter of the original diode, thus a 3X increase in
diameter and >9X increase in area.
Other examples of preferential deposition making use of the atomic
mobility of the silicon atom are shown in Figures 15 through 18. Figure 15
shows the preferential epitaxial deposition of silicon in the vertical lines
across the bottom portion of the slice. In this grating there are three micron
wide lines of oxide with two micron wide areas of open silicon between
them. Single crystal silicon is nucleated in the open silicon areas and
grows up through the oxide and then spreads laterally as shown in Figure
18. Also shown in Figure 15 in the top portion of the photograph is an area
with continuous oxide mask with polycrystalline silicon nucleated only on
the top half of this oxide area. The lower half of the oxide area is completely
clean and free of spurious nucleation of polycrystalline silicon. The silicon
atoms have enough mobility, to move to preferred sites in the open silicon
area or to deposit out (at super saturation) as polycrystalline silicon over
the oxide. In this experiment, the deposition temperature was 1150°C and
Chemical Vapor Deposition 97
V)
!.-
Q)
+-I
C
Q)
C,)
E
::t
LO
N
C
o
(/)
Q)
~
0
0
C
Q)
a.
o
E
::t
LO
I
.::L.
(/)
ro
E
c
o
o~
>
~
98 Semiconductor Materials
C/)
C')
c
c
(1)
0.
o
(1)
-c
'xo
E
::t
LO
...c
C')
::J
o
!I-
...c
+-'
o
o
o
o
o
E
::t
o
N
>
a;
+-'
co
E
x
o
!I-
0.
0.
«
M
t-
...
(1)
~
.~
LL
Chemical Vapor Deposition 99
Q,)
~
x
o
..c:
0)
::J
o
'-
..c:
+-'
>
X
co
:!::
C.
Q,)
c:
o
,~
'Vi
100 Semiconductor Materials
>
,!:
..c
o
E
u
'E
o
+oJ
co
C
o
u
Chemical Vapor Deposition 101
c
o
+-'
co
()
~
c0')
co
~
>-
.'!::
.c
o
E
()
E
o
+-'
co
C
o
,~
'en
c
o
+-'
()
0)
'+-
'+-
0)
~
0)
c
o
()
0)
'"'C
'en
c
c
~
0)
+-'
+-'
co
0-
0)
:g
X
o
102 Semiconductor Materials
Figure 17: Oxide pattern outside corner effect on silicon atomic mobility.
the mole ratio of silicon halide (SiHCI 3 ) in hydrogen was 1.12%. At these
conditions and maskgeometrythe atomic mobility of the silicon atoms was
great enough forthe atom to move approximately 23 microns, which is one
half the distance between the open silicon pattern and the area of heavy
polycrystalline nucleation over the oxide for a total of at least 47 microns.
The atomic mobility is greatly affected by the halide being used, SiH 2 CI 2 ,
SiHCI 3 or SiCI 4 , the mask geometry (open silicon to mask area), and the
operating temperature, which provides the energy to move the silicon
atom. The atomic mobility increases as the temperature increases or as
the mole ratio decreases, or in other words, as the energy to move the atom
increases or the number of silicon atoms competing for a preferred site
Chemical Vapor Deposition 103
"'C
cv
+-'
co
.~
"'C
c
c
co
.c
+-'
en
en
cv
c
.2
+-'
co
()
~
c
C)
co
~
c
~
cv
+-'
+-'
co
Q.
cv
:-Q
x
o
c
o
cv
+-'
co
~
.c
~o
~
C)
.~
x
co
+-'
'0:.
cv
c
o
.:2
"en
~
o
+-'
()
cv
~
~
L.U
104 Semiconductor Materials
°c
10,-00_ _9-r0_0 _ _80,-0_ _7-r0_0 -,
10000 1,.-15_0_ _
\
\ ~DIFFUSION
/\ LIMITED
z
'---r~-
~
o~ 1000
I
w
....Co!
c::
2
o
t=
en
o
0- 100
W
C
10 '----.1.-_'-----'--_1....-----'--_'---....
0.70 0.75 0.80 0.85 0.90 0.95 1.0 1.1
1000ff K
°c
1150 1000 900 800 700
H2 FLOW RATE 40 I/min
:2: %SiH4 0.095 •
~ %SiH4 0.065 •
~ 10 3 % NH3 1.2
w
.-ct
a:
:2:
o
~ 10 2
o
c..
w
C
10 L . . - - - - ' L - _ I . . . - - _ I . . . - - _ I . . . - - _ a . . . - - _ a . . . - - _
0.70 0.75 0.80 0.85· 0.90 0.95 1.0 1.05
1000rr I(
In the dielectric isolation (01) process 23 ,24 used for high-voltage, high-
speed, and radiation hardened circuitry, CVO processing is used in several
steps. It is used to deposit oxide, in addition to thermal oxidation, to form a
pinhole-free sandwich of thermal oxide and CVO oxide. It is used in the
polycrystalline silicon deposition step and may be used for the deposition
of silicon nitride and/or silicon carbide films. The 01 process offers advan-
tages in several areas such as radiation hardened capability, high-voltage,
high-speed, and process control capability. However, it is not widely used
due to it's high cost. In normal p-n junction isolation, a reverse bias junction
isolates the active components within the circuit, (Figure 22). The formation
of this junction, however, causes high parasitic capacitance around each
device in the circuit. This parasitic capacitance decreases the speed at
which the device is capable of operating. The isolation voltage between
the two adjacent devices is a function of the resistivity or doping concentra-
tion of the high resistivity side of the junction. The isolation voltage in a p-n
junction isolated circuit or array is normally less than 100 volts, whereas, in
the case of a 01 structure the operating voltage or isolation voltage is
several hundred volts. In fact, in most 01 structures, the isolation will not
break down between adjacent com ponents but will break down across the
surface at voltages of >450-500 volts. In the dielectric isolation process
(Figure 22), the p-n junction isolation is replaced in function by a dielectric
film such as Si0 2, Si 3 N4 , or combinations of Si0 2 , Si 3 N4 , and/or SiC. The
replacement of this large area capacitor or p-n junction isolation around
each device by a thermal oxide and/or other dielectric material effectively
gives the device very high switching speed capability as well as very high
voltage operating capabilities. Oevices produced by the 01 process also
have higher radiation tolerance due to the fact that a radiation particle is
Chemical Vapor Deposition 107
DEPOSITION SOURCE
SiH4 + NH3 + H2 Six Ny (Si3N4) + Gas By Products
SiH2CI2 + NH3 + H2 SixN y (Si3N4) + Gas By Products
USES
OXIDATION MASK
ETCH MASK
PASSIVATION
I I I I
I DEPOS ITION TEt,'P. -850 C 0
-
FLO'/J RATE 40 I/min
~
% SiH 0.065
.\
4
3
z
o ~
~
..-
u
«
0::::
~~
~
u...
2
......
......
-
I""'.
....,
r". ,.-.,.
o
X
l.LJ
o
Z
o
o .1 .2 .3 .4 .5 .6 .1 .8 .9 1.0 1.1 1.2
~ NH
3
----- Si Hi ch -------~-.41
-.... Stoichiometric Si3N4
c
o
'';:;
ctS
"0
,~
c
o
',i:j
u
C
::J
z
0:.
"'C
C
ctS
C
o
',i:j
ctS
(5
,~
u
'~
+-'
u
(1)
a;
Ci
N
N
...
Q)
~
,~
u..
Chemical Vapor Deposition 109
(I)
(I)
Q,)
(,)
o
'-
c.
'-
Q,)
+-'
c
'-
c.
co
E
'-
Q,)
.c
ן-
M
N
...
Q)
:s
.~
LL
Chemical Vapor Deposition 111
DEPOSITION SOURCES
SiC I4
C7Hg Toluene
SiHC I3
+ + ~H SiC + HCI
------.
SiH2CI2 or
C3Hg Propane H2
SiH4
USES
This thin film collector contact structure will be exposed at the top surface
of the final device structure giving extremely low resistance to the collector
contact which provides very fast collector saturation and in turn high-
speed capabilities. Afterthe 01 material is deposited and/or grown, a thick
polycrystalline silicon film is CVO deposited across the structure. This film
is normally the same thickness as the starting substrate, in the order of 0.5
mm in thickness. Afterthe thick polycrystalline silicon film is deposted, the
structure is inverted and the original substrate is ground and polished until
the isolation moats and/or thickness indicators are visible. At this time a
final polish is applied and the structure is ready forfinal device processing.
The starting structure was the collector resistivity material, however, it is
possible to have a collector contact exposed at the surface if desired. In
the electronic printer process the next steps are the formation of the base
and then the emitter by diffusion followed by metallization as in a conven-
tional junction isolation processing. At the grind and polish process step
the extremely hard silicon carbide and/or silicon nitride will act as a
polishing stop. This aids in the planarization of the entire structure. After
the metallization, which may be CVO polysilicon, silicide, or metal, is
applied, in the electronic printer process the structure is bonded down to a
ceramic which has matching metallization leads. The thick polycrystalline
silicon layer is then removed by grinding and/or etching back to the
original ODE surface which is now covered by oxide, nitride, or carbide. All
of these 01 materials act as a good etch stop. However the nitride and/or
carbide are superior in stopping the etch and in providing a wear resistant
surface for the electronic printer.
112 Semiconductor Materials
a::
w
I-
2
a::
Q.
c
W w
C ~
o en
X .-. :I:
~ g C)
".... ....
--- -
J:
.e-
..c
u
c
o
.~
(/)
'-
Q,)
+-'
c
'-
c.
-c
Q,)
Q,)
c.
(/)
..c
.~
J:
114 Semicof'ductor Materials
8
.o...,
o
..c:
0-
S
Q)
":;
0-
o
....,
0-
..c:
u
!o-
....,
Q)
c:
"~
0-
()
c:
o
....,
!o-
()
Q)
iIi
Chemical Vapor Deposition 117
c
o
,~
'en
.r:.
()
co
Q.)
"'C
C
::J
o
~
co
E
"'C
Q.)
+J
~
o
,~
,~
+J
()
-
~
Q.)
Q)
-
>
•
+J
.-
0]
co
•• +J
()() V)
N-
CO
.... -
Q)
::s
()
V)
en>
.- .r:.
LLa.
118 Semiconductor Materials
en
(5
o
co
.....,
(I)
>-
l0-
U
cV
C,
c
'en
c
o
oen
c
o
c5
en
c
o
c
o
,~
'(i;
>-
(5
a.
o
>
u
en
N
Q)
'-
~
.~
LL.
Chemical Vapor Deposition 119
C
-d:
w
J:
w a::
c w
0 l-
X
0
0" z
t-
0 a::
t-
t- o.
o
M
Cl)
~
:::s
.~
LL
120 Semiconductor Materials
c.
..c
C,)
"'C
co
Q)
..c
~
Q)
+""
c
~
C.
"'C
Q)
+""
co
(5
,~
,~
~
+""
C,)
Q)
~
"'C
~
o
o
+""
o
..c
c.
Q)
+""
'en
o
,c.
E
o
u
SILICON SUBSTRATE
INVERT
.... ....
..~ ~ ETCH MASK
.......
.... ()
::T
co
3
SILICON REMOVED 0"
l~ .."" ".."""....s.•"'''''i1i""~,,.~11
0>
BY ETCHING
<0>
"'C
o
.,
·
• .
ii •••••••••••••••••• ::::::: ••••••••••••••••••••••••••••••••••••
o
co
SiC FILM -1.5J..Lm ~ DEPOSIT & DEFINE G~LD "'C
o(J)
, W"jjM , lj'j'jie " " rrm " " -iiMlte • " GAil" IjiiJ • PATT EAN BYE·BEAM
~ ~
::+
0"
::J
• SiC WINDOW
INVERT :
f\)
Figure 32: X-ray lithography mask fabrication.
122 Semiconductor Materials
may be, e.g., gold. Afterthe th in pell icle orwi ndow, wh ich is transparent to x-
rays and also transparent in the visible wavelength region is deposited, the
structure is inverted and the silicon is etched from the central portion of the
original silicon substrate leaving a supporting ring of silicon around the
periphery of the structure. The gold or x-ray blocking material may be
deposited and patterned prior to this etch step or it may be deposited and
patterned following the silicon etching step. The silicon carbide, silcon
nitride/oxide, or boron nitride film forms an exact replica of the starting
substrate surface at the thin film/substrate interface. This very exact
replica of the polished substrate provides a very flat, highly reflective
membrane surface on which the gold is to be deposited. If silicon carbide is
to be used as the pellicle one may use the hydrogen reduction of silicon
tetrachloride(SiCIJ and propane(C 3 Ha), both being of electronic grade, at
a deposition temperature of approximately 1200°C. The film should be
deposited at as near stoichiometric conditions as can be obtained in order
to produce transparent stress-free films. These conditions can be obtained
by using approximately 0.89% silicon tetrachloride (SiCI 4 and 0.37% pro-
pane (C 3 H a). If one wishes to deposit boron nitride by CVD (see Table 7) one
may use the boron hydride (B 2 H 6 ), diborane, at 4.4% in hydrogen and
ammonia (NH 3 ) 6.6% at approximately 530 degree C in an epitaxial-type
reactor. In general the silicon carbide pellicle is the strongest and most
stable pellicle. The silicon carbide pellicle orwindow may be as thin as 1.5
microns, whereas the boron nitride film must be in the order of 4-6 microns
to form the transparent membrane across a 100 mm diameter mask.
Figure 33 shows the visible transmission of a thin 1.5 micron, silicon
carbide pellicle across a 100 mm diameter silicon slice ring. The central
portion of the sil icon has been removed and the visible transm ission of the
silicon carbide pellicle is evident due to the transmission of the printed
advertisement for the Datachron calculator photograph below it. This thin
membrane or pellicle must have high x-ray transmission and high visible
transmission for rough preliminary alignment of the mask by visible tech-
niques.
Figure 34 shows a photograph of the completed silicon carbide pellicle
with the gold patterned x-ray mask formed on it.
DEPOSITION SOURCE
BN + H
BBr3 HBr
or + N H3 + H2 BN + or
BCI3 HCI
o
>
()
Q)
,~
v;
c
o
,~
'(I;
c
o
E
M
M
Q)
'-
:::s
C)
u.
124 Semiconductor Materials
o
>
()
Q.)
.~
Vi
c
o
.~
'Vi
c
o
E
:.;:
u
US
C)
c
'Vi
::J
.::£
(/)
eo
E
>
..c.
c-
eo
10-
C)
o
..c.
,~
Chemical Vapor Deposition 125
REFERENCES
1. DuPont Process: D.W. Lyon, J. Electrochem. Soc., 96: 356 (1949) Process used
by DuPont, Eagle Picher, and Sylvania/Siemens Process: E. Spenke, Semi-
conductor Silicon, Elecrochem. Soc. 1 (1969).
2. Eagle Picher Research Laboratories, Fourth Quarterly Report, Contract #
DA36-039-SC-66042, (July 1, 1956).
3. Eag Ie Picher Process, Sixth Quarterly Report, Contract # DA36-039-SC-64694,
Aug. 1,1956 to Nov. 1,1956, U.S. Signal Corps. Project No. 152 B. Also Final
Quarterly Report, this contract Feb. 1, 1957 to May 1, 1957.
4. W.R. Runyan, Silicon Semiconductor Technology, vol. 12 McGraw-Hili, (1965).
5. G.K. Teal, and J.B. Little, Phys. Rev. 78: 647 (a) (1950).
6. R.C. Sengster et aI., J. Electrochem. Soc. 104: 317 (1957).
7. H. Mark, J. Electrochem. Soc. 107: 568 (1960).
8. H.C. Theurer, J. Electrochem. Soc. 108: 651 (1961).
9. B.A. Joyce and P.R. Bradley, J. Electrochem. Soc. 110: 1235 (1963).
10. KE. Bean, W.R. Runyan and R. Massey, Semiconductor International, 136 (May
1985).
11. KE. Bean, and P.S Gleim, IEEE, 57: 1469 (1969).
12. G.A. Lang and T. Stavish, RCA Rev. 4: 488 (1963).
13. KE. Bean and P.S. Gleim, Electrochemical Society, Fall Meeting, Oct. 1963, late
newspaper, Abs. J.E.C.S. (Dec. 1963).
14. K E. Bean, Thin Solid Films 83: 173 (1981).
15. J.O. Borland, and C.1. Browley, Solid State Tech. 141 (Aug. 1985).
16. H. Kurten et ai, IEEE Trans. Elec. Dev. ED-30, 1511 (1983).
17. G.A. Rozgonyi and C.W. Pearce, Appl. Phys. Letters 31: 343, (1977).
18. A.S.M. Salih et ai, J. Electrochem. Soc. 133: 475 (1986).
19. S. Mendelson, Single Crystal Films, Proceedings of Conference held at Philco
Blue Bell, PA, (May 1963).
20. S. Mendelson, J. App. Phys. 35: 1570 (1964).
21. S.K Tung, J. Electrochem. Soc. 112: 436 (1965).
22. KE. Bean, P.S. Gleim, and R.L. Yeakley, J. Electrochem. Soc. 114: 733 (1967).
23. U.S. Davidsohn and Faith Lee, Proc. IEEE, 57: 1532 (1969).
24. KE. Bean and W.R. Runyan, J. Electrochem. Soc. 124: 5C (1977).
25. T.L. Brewer, R.K. Watts, and K.E. Bean, Electrochemical Society Proceedings of
Symposium of Electron and Ion Beam Science and Technology, 8th Interna-
tional Conf., Electrochemical Society Proceedings, 78-5,453 (1978).
26. H. Luthje, et ai, 30th International Symposium on Electron Ion and Photon
Beams, N-4, (May 27-30, 1986).
4
Chemical Etching and Slice
Cleanup of Silicon
Kenneth E. Bean
Texas Instruments Incorporated
Dallas, Texas
INTRODUCTION
Slice cleaning and wet chemical etching have been key semiconductor
processing technologies since the beginning of semiconductorfabrication in
the late 1940's and early 1950's. The demand for cleanliness, control of
purity: and freedom from defects becomes more stringent with each
advance in device and circuit complexity. This chapter discusses wet
chemical etching of silicon from the standpoint of planar etching, orienta-
tion dependent etching (ODE), concentration dependent etching, and
defect delineation etching. It also discusses the etch composition, the
masking materials used for preferential etching, mask alignment, and
applications for the above etching technologies. We will also discuss
silicon slice cleanup procedures and effects thereof. Table 1 summarizes
the subjects to be discussed, in order of discussion.
Planar etch is a solution that etches silicon in all crystallographic
directions at the same rate. A common formulation is made up by mixing
hydrofluoric acid (H F)~ BO/o byvolume, nitric acid (H N03 ) ~ 75 0/0,'and acetic
acid (C 2 H4 02) ~ 17%. At 25°C this solution etches silicon slices (wafers) at
approximately 5 llm per minute, (see Table 2). Orientation dependent
etches (ODE) have been developed which etch much faster in one crystallo-
graphic direction than in another. For example, a solution of potassium
hydroxide and water(KOH + H2 0) in equal parts (50%-50% weight) at BOaC
etches silicon in the < 110> direction ~ 700 times fasterthan in the <111 >
126
Chemical Etching and Slice Cleanup 127
•
•
• (111)TRACE-FLAT
ETCH SOLUTIONS
ALIGNMENT
• (100) I SECCO
WRIGHT-JENKINS
SCHIMMEL
• APPLICATIONS
SIRTL
• STANDARD CLEANUPS
• CHOLINE CLEANUPS
direction. See Table 2 and Figure 2B.lfwe add normal propanol to the KOH
and H2 0 etch, we can also etch silicon in the < 100> direction approxi-
mately 100 times faster than in the < 111> direction, at BO°C. See Table 2
and Figure 10.
If we mix the same mineral acids used in the planar etch solution in the
ratios of one part HF, three parts H N03 , and 1a parts C2H4 02' we have an
etch commonly known as Dash etch, which etches p+ silicon or n+ silicon,
>7X10 19 carrier concentration, much faster than p- or n- silicon. In
contrast, the KOH-propanol-water etch "stops" (slows down by ~ 20X) at a
p+ interface. The ethylenediamine (EDA) etch, made up of EDA, pyrocate-
chol and water (see Table 2) is also both orientation dependent and
128 Semiconductor Materials
Q) (110)
(1)(221)
Q) (Ill)
@(334)
0) (112)
@(114)
(j) (100)
the right or to the left, we are looking in a < 100> direction and see that the
atoms are arranged in a square array. The atomic packing density in this
direction is slightly less, making it a more open lattice. Therefore, one
would expect that etching in this direction would proceed more rapidly
than into the more highly packed (111) plane. If we rotate from the (100)
plane 45 or 90 degrees, using this same model then we will be looking in a
<110> direction. The atoms are in a very open lattice structure, which
exhibits the fastest etching and deposition conditions. This open lattice
structure can also be used to advantage for deep ion implantation. Channel-
ing of the ions takes place very readily in this open lattice < 110> structure.
This plane in silicon can also be used to advantage for radiation hardened
circuitry. A radiation particle must travel further in this direction before
colliding with a silicon atom, thus producing less radiation damage, than in
the <100> or <111 > direction. From this figure we can also see that the
high densityofthe(111) planeshould make itaverystrong plane. The(11 0)
plane is 90 degree to the (111) plane. The plate-like high packing density
structure of the (111) planes are held or bonded together by the structure
of the more open lattice (110) plane structure. Therefore, when we break or
cleave a silicon slice, it will cleave along < 110> directions between (111)
planes, separating or breaking (110) bonds.
Figure 5 shows a (100) and a(111) silicon slice that have been cleaved
by pressing the center of each with a hard object, such as tweezers or a
ballpoint pen, when the slice is lying on a pad of paper which allows it to
give, therefore causing it to break. In the case of the (100) silicon slice note
the fourfold symmetry of the (111) cleavage planes. Their traces intersect
130 Semiconductor Materials
V)
<1J
,~
"'0
C
o
o,..-
"'C
C
C'C
<1J
...c:
+-'
"t-
o
C
o
';:;
C'C
>
'i:
Q)
'"C
Q)
...c:
to-
M
...
Q)
~
.E'
u.
Chemical Etching and Slice Cleanup 131
"'0
C
o
E
.~
"'0
.~
-C
::J
U
C
o
.~
'en
c
en
C
.Q
+-J
u
~
"'0
X
Q.)
"'0
C
~
o
Q.)
e
...c:
+-J
C'>
C
.~
o
...c:
en
Q)
"'0
o
~
132 Semiconductor Materials
en
Q)
.~
Vi
c
o
.~
·en
o
o
"'C
Q)
>
co
Q)
..
LO
Q,)
~
.~
LL
Chemical Etching and Slice Cleanup 133
the (100) surface in the pattern shown. These (111) planes intersect the
(100) surface plane at an angel of 54.74 degrees. If we look at the cleavage
plane or the edge of the cleaved border of the slice we see a crystallo-
graph ic plane that is inclined to the surface at this 54.74 deg ree angle. In
the case of the (111) slice there are three cleavage planes 120 degrees
apart. When they extend all the way across the slice, they break into pie-
shaped segments with 60 degree angles. The (111) cleavage planes
intersect the (111) surface of the slice at 70.53 degrees. If o~e again
cleaves the sections that have already been cleaved, they will continue to
cleave, in the case of(1 00) into squares or rectangles, and in the case of the
(111) into 60 degree triangular shapes. If we cleave a(11 0) silicon slice it
will cleave 90 degrees to the (110) surface. If we continue to cleave these
sections we will see that they form rhombic shapes as shown in Figure 6.
However, if we look atthe edge orthe cleaved surface wewill see that in all
cases they are 90 degrees to the(11 0) surface. These are the (111) planes.
In today's silicon semiconductor processing there is great interest in
MOS-type structures. For this type of structure the (1 00) slice orientation
is usually used due to the low surface state density at the (100) silicon
surface-silicon dioxide interface.
Figure 7 is a stereographic projection of the standard (001) or (100)
face centered cubic crystal structure. In this projection we are looking
directly at the (1 00) surface as we would in a (1 00) silicon slice. Note that
the four(111) planes which intersect the (100) surface are slightly greater
than half way out to the periphery of the projection (slice) in <110>
directions. In other words, there is a (11 0) plane perpendicular to the (100)
plane and tangent to the periphery at this point. The (111) planes are
actually coming into the (100) surface at angles of 54.74 degrees. The
(111) planes are also at 90 degree angles to each other. Those planes
designated by the Miller indices at the periphery of the projection are
known as directions. Starting at the bottom, orthe periphery closest to the
observer, is a (1 00) plane, indicating that this is a <1 00> direction. Those
Miller indices indicating planes between this (100) plane and the (100)
plane at the center of the projection are lying in this < 100> direction.
Moving to the right of the bottom center we have a <310> direction.
Moving up along the periphery we find a <210> direction, a <320>
direction, and then the < 110> direction, in which the (111) predominant
plane lies. Further examination of this (100) projection shows a four-fold
symmetry in this (1 00) plane. All four quadrants are exactly alike, and the
(111) planes are 90 degrees to each other, as are all other families in this
projection. Note also that in this (100) projection are a <310> direction to
the right of the <100> direction at the bottom of the projection and a
<310> direction to the left of the < 100> direction at the bottom of the
projection. These same two (31 0) planes are also to the right and to the left
of the <100> direction at the top of the projection. Likewise, they are
above and below the <100> direction at the right and at the left of this
projection. This shows there is double four-fold symmetry of <310>
direction planes in the (1 00) projection. In this double four-fold symmetry
of <310> directions the predominant planes are the (311) and the (331).
These planes etch and deposit rapidly in processing. The effect of these
134 Semiconductor Materials
CD
,~
Vi
c
o
,~
'Vi
CD
...
Q)
~
en
u::
Chemical Etching and Slice Cleanup 135
Q)
c:
co
a.
o
o
'+-
o
c:
.Q
+-'
u
Q)
'0
~
0.
.~
.c:
0.
co
~
C')
o
~
Q)
en
I'
...
Q)
:s
.~
LL
136 Semiconductor Materials
(/)
Q)
c
co
C.
Q)
..c.
+-'
'+-
o
Q)
u
co
~
+-'
Q)
..c.
+-'
..c.
+-'
.~
~
co
~
co
C.
Q)
C
co
C.
o
o
c
o
~
(/)
co
E
'+-
o
+-'
c
Q)
E
c
.~
«
..
00
Q)
::s
en
Ii:
138 Semiconductor Materials
(lID) FLAT
[100]
54. 6
74
~ [lll]
SiOZ SILICON
(lID) FLAT
the traces of the two (111) sidewall planes meet at the bottom of the etch
moat the orientation dependent etch stops etching. To verify this statement,
some (100) silicon slices were etched using a mask designed to etch 50
microns deep using the (100) ODE. This mask also has corner compensation
designed to give square or right-angle corners at a depth of 50 microns.
Figure 17a shows a top-focused view of one of these slices, which was
etched for 38 minutes at 80°C. The etch depth is 38 microns. In the left-
hand picture the focus is at the top of the (100) slice. In the right-side of
Figure 17 a the focus is at the bottom of the etch moat. Note that the bottom
is flat at the etch front and is a (1 00) plane, since we have only etched 38
microns deep. Careful measurement of the etch width at the silicon
surface/oxide mask interface shows that the etch moat is 97.5 microns
wide with no measurable undercutting. Also, note that in Figure 17a, atthe
left top focus view there is a slight tip of silicon sticking out at the corners in
all fou r quadrants of the etch moat. Rememberthe corner com pensation is
designed for 50 microns etch depth and we have etched only 38 microns
deep. The slices were then placed back in the ODE solution and etched for
an additional 30 minutes, for a total etch time of 68 minutes. We have now
over-etched by 18 minutes for the corner compensation design. Careful
measurement of the top of the etch moat again show that the etch moat
width at the oxide is 97.5 microns, indicating that there is no undercutting
or etching after the trace of the (111) planes reaches the edge of the oxide
mask. The oxide corner compensation of the mask is clearly visible in both
the top focus and the bottom focus views of Figure 17b. The bottom focus
shows a completely v'd-out etch front with' no (100) remaining. To further
prove this statement, the slices were again placed in the ODE solution for
an additional 30 minutes, making a total of 48 minutes over-etching forthe
Chemical Etching and Slice Cleanup 139
Figure 10: Top view and cross-sectional view of ODE etched (100) silicon.
140 Semiconductor Materials
c
o
,~
'Vi
o
o
"'C
Q)
..c
o
+-'
Q)
w
(:)
o
~
o
S
Q)
'>
"'C
Q)
C')
~
C'O
C
Q)
o
o
co
"'C
C
C'O
~
'>
0.
+-'
o
C')
c
'~
..c
en
..c
0.
C'O
~
C')
o
+-'
o
..c
0.
~
W
CJ)
Chemical Etching and Slice Cleanup 141
en
CD
3
o'
o
::J
a.
c
o,....
o
-l
~
,....
Ol
CD
-l
ni'
en
Figure 14: Corner faceting due to (100) ODE etching using different etches.
144 Semiconductor Materials
60
55
I
50 I UNDERCUT
45
40
______17 0
CORNER DEPTH
UNDERCUT V5. (MICRONS)
1.91 5
35 V) 4.70 10
30 z0 0 9.35 18
0:::
25 u 13.49 23
16.38 30
20 ~::r: 20.57 34
f-
15 w0- 24.13 38
c 26.87· 44
10 30.78 49
5 33.50 54
CORNER UNDERCUT (MICRONS)
5 10 15 20 25 30 35 40
Figure 16: Graph of corner undercutting vs ODE etch depth for (100) silicon.
mask design. Again, the slice was measured at the top of the moat, at the
oxide/silicon interface. The distance across the moat remained 97.5
microns, showing complete stopping of the ODE etching by the (111)
plane, aligned with the mask opening. The cornercompensation is clearly
shown projecting out over the ODE etched moats of this greatly over
etched slice both in the top focus and the bottom focus of Figure 18. The
undercutting to the (331) planes is also shown.
In Figure 15 the angle of intersection of the (331) planes with the (100)
surface plane was observed to be 46.51 degrees. This is considerably
lower than the 54.74 degree angle of intersection of the (111) planes. We
may wish to take advantage of this lower angle to more easily run metal-
ization over these moats and/or mesas and to enhance photolithography
definition. To do so, we merely align the mask parallel and perpendicularto
the <310> direction, as indicated in Figure 7. The <310> direction is
aligned by rotating the mask only 26.56 degrees to the right, orto the left, of
the <110> direction. [This is due to the double four-fold symmetry of the
<310> directions in the (1 00) plane]. Figure 19 shows two (100) silicon
slices that were ODE etched in the same etch solution, at the same time,
using the same uncompensated mask pattern. Slice (a) was aligned with
the <310> direction. Note the loweretch termination plane angle is(46.51
degrees) for the (331) plane in slice (a) compared to a 54.74 degree etch
termination plane angle for the (111) plane in slice (b) which was aligned
with the < 11 0> direction. Also note for slice (a) the 90 degree right angle
146 Semiconductor Materials
"'C
CD
..c
(,)
+oJ
CD
W
o
o
o
o
"l-
e
..c
0-
m
1.-
C)
e
+oJ
e
..c
a..
()
::r
CD
3
o·
~
m
ro+
()
::r
::J
co
D>
::J
a.
~
o·
CD
()
CD
D>
(100) ODE. 98 MIN. 80°C. 69.9 JIM DEEP, WIDTH AT OXIDE MASK = 97.5 JIM ::J
c:
"0
Figure 18: Photograph of (100) ODE etched surface and corner compensated mask. -..I.
.,I:::a.
""-J
148 Semiconductor Materials
+-'
cQ,)
E
c
o~
co
~
CI)
co
E
c
o
0;:;
u
Q,)
~
"0
/\
o
~
V
"0
C
co
/\
o
~
M
V
.r:.
+-'
o~
>-
Vi
:::s
o
Q,)
c
co
~
:::s
E
°Vi
"0
Q,)
.r:.
u
+-'
Q,)
W
o
o
c
o
o~
°Vi
o
o
'+-
o
.r:.
0-
co
~
0')
o
+-'
o
.r:.
c..
Chemical Etching and Slice Cleanup 149
corners, even without mask corner compensation. This is due to the moat
sidewall etch termination being on the faster etching (331) planes which
cause the corner undercutting in the slice aligned with the < 110> direction.
The width of the isolation moat is considerably wider, giving lower packing
density in slice (a) due to undercutting of the mask all along the mesa edge.
Corner undercutting, and fast etching, is observed to be due to the (331)
planes at the corner of slice (b). Figure 20 shows an example of a metal-
ization line over a silicon mesa which was ODE etched with <310>
direction alignment. Note the low angle of the 46.51 degree (331) planes
forming the mesa sides.
The ethylenediamine pyrocatechol and water etch, mentioned in Table
2, may be used as an oxide pin hole characterization tool in (1 00) MOS
processing. If the oxide, especially thin gate oxides, has pin hole problems,
these pin holes may be delineated by etching in the ethylenediamine etch
solution. The delineation will result, and can be counted, due to the etch
termination in the (100) silicon in the form of a perfect inverted pyramid, as
in Figure 21. The etch pit will have perfect four-fold symmetry of (111)
planes even though the pin hole may be irregularly shaped. The pin hole
density of the oxide may be counted through the use of normal bright field,
or Nomarski microscopy. Very small orifices, one micron or less, may be
etched through a silicon slice using ODE and a mask designed to provide
the desired orifice size. The etch depth to mask open ing width is 0.707 x W,
where W is the width of the maskopening. Forexample, a maskopening 10
microns wide aligned with the < 11 0> direction on a (100) silicon slice will
etch 7.07 microns deep and then stop etching. The reverse effect of
generating very sharp points may be obtained by aligning a pattern of small
squares slightly off the < 110> direction to purposely cause undercutting.
This effect is shown in Figure 22. In the photograph at the left side of Figure
22 the etch mask, has been completely undercut and the mask medium
has been washed away. In the photograph at the rig ht side of Figu re 22 the
etching was stopped before the mask was completely undercut, and the
mask can be seen on top of the pyramid.
en
(1)
3
o'
o
:J
0.
c:
()
ro+
o
~
s:tu
ro+
(1)
~
Di'
en
Figure 20: Photograph of metalleed contact to <310> aligned ODE etched silicon mesa.
Chemical Etching and Slice Cleanup 151
en
CD
3
o'
o
::J
0-
c:
(')
r+
o
~
~
III
r+
CD
~
05'
U;
u
(100) ODE, 2040 X 65 SEM (100) ODE, 1240 X 65° SEM
10 p.m SQUARES ON 20 p.m CENTERS NOT ETCHED TO COMPLETION
c
c
0
c >-co
z
« "-
"-
co
W c
C 0
,~
0 'Vi
z "C
0 Q)
.....
z co
(5
Q ,~
.... (,)
«
en
'~
.....
(,)
Q)
Z ~
w "C
C.
"C..
:E Q)
0 ..c:
(,)
0 .....
Q)
a: LU
W 0
Z 0
a:
0 M
N
(J Q)
~
LL ::s
0 0')
iI:
l-
U:
W:
LL
LL
W
01
~
EFFECT OF CORNER COMPENSATION ON ODE AND ODD
en
(1)
3
0"
o
::J
a.
c:
(")
r+
o
""""
~
Dl
r+
(1)
""""
iii"
00
"~
x
C'O
+-'
"a'
Q)
c
o
"~
"en
"'C
C
C'O
C>
C
"~
o
..c
en
en
..c
0-
C'O
~
C)
o
+-'
o
..c
a..
Chemical Etching and Slice Cleanup 157
the lines or sides of the fault aligned with the trace of the (111) planes
which intersect the (111) surface, and in < 11 0> directions. The (100)
epitaxial stacking faults will be line faults or squares, with the lines or sides
of the square aligned with the trace of the (111) planes, in < 110> directions
intersecting the (100) surface. If the (111) triangles are not perfectly
equilateral or if the (100) squares are not perfectly square, the substrate is
off orientation. The ESF's usually nucleate at the substrate/epitaxial layer
interface. Due to the growth control of the faults by (111) planes, and by
knowing the angle of intersect of the (111) plates with the substrate plane,
one can very accurately determ ine the thickness of the epitaxial layer. This
is accomplished by merely measuring the length of the side of the ESF and
multiplying it by 0.707 for (1 00) silicon, 0.816 for (111) silicon, and 0.5 for
(110) silicon. Figure 25 shows examples of (111) and (100) epitaxial
stacking faults. Further discussion of stacking faults in silicon, both epi-
taxial and bulk SF's, will be found in the section on defect delineation.
001
117
103 • 013
2;3 3i~
• •
II~
• i3S i23
• • .,02
.,13
.012 • •
Figure 26: (110) stereographic projection for a face centered diamond cubic
crystal.
surface area can be obtained by this type of ODE etching. If a line one
micron wide is opened and etched 100 microns deep, we have increased
the available silicon surface for device fabricatin by 200X. This vertical
surface can be used advantageously for fabrication of passive semicon-
ductor components such as capacitors, resistors, and isolation. It has also
been used in the fabrication of large area, high efficiency solar cells.
Methods of fabricating active components in these vertical surfaces are
being explored making use of beam technologies.
Figure 29 is a 5,000X SEM photomicrograph, taken at an angle which
shows the top surface as well as the cleaved edge of a (11 0) ODE etched
0)
o
en
(1)
3
o'
o
::J
Q.
C
(")
r-+
o
~
s:
0)
r-+
(1)
~
05'
W
Figure 28: Photograph of cross-section of (110) ODE etched silicon. (Magnification less than indicated.)
Chemical Etching and Slice Cleanup 161
c
a
(.)
"f-
a
..c
c-
eo
"-
0')
a
+-'
a
..c
c-
~
UJ
CJ)
162 Semiconductor Materials
structure using submicron lithography. Each ridge and space in this case
should be 0.6 micron with 1.2 micron centers. However, if the ridges are
thinner than the spaces between the ridges, this indicates a misalignment
of the mask with a trace of the (111) at the (110) surface. Again, we see the
V-notches at the bottom of the etch moats due to the low angle (111)
planes. The sidewalls of structures such as this may be readily doped to
form junctions by standard diffusion techniques. These sidewalls are also
readily oxidized by standard thermal oxidations. Therefore, by alternate
etching and diffusion we can fabricate active p-n junction structures in
these walls.
Figure 30 is a 57 OX SEM photomicrograph showing the top and the
edge view of a cleaved ODE structure similarto the one shown in Figure 29.
However, in this case the tops of ridges have been pointed by lightly
etching in a 1-3-8 etch. These points are so exact that the surface area
becomes a blackbody. Such a structure may be used as a high efficiency
solar cell, which has the advantages of a large silicon surface area plus a
blackbody surface area that traps all the available solar energy into the
cell.
Figure 31 is a 11 ,500X SEM photomicrograph showing one of these
ridges. Even at this magnification no radius of curvature can be detected. It
should be noted that the sidewalls of these etched arrays are the (111)
planes wh ich may be tilted to any desired angle merely bycutting the slices
off orientation from the (110) in the proper direction.
Figure 32 shows an array which has been etched into a silicon slice
that was purposely cut 10 degrees off the (110) orientation. This effect,
combined with the blackbody etching, may be used to produce optical
collimation for an LED-type display with zero back-reflection to the observer.
If a mask consisting of open lines in the oxide is aligned with the trace of the
(111), and the slice is then turned over and the same type mask aligned
with the other set of (111) traces, we can simultaneously etch these two
patterns to obtain an X-Yarray such as that shown in Figure 33. This figure
shows an SEM photomicrograph, taken at 60 degrees, of a slice which was
simultaneously etched from the top and bottom which has a section
cleaved or broken out of it. In this case we have five micron openings on 20
micron centers. Where the etch from the front and the etch from the back
meet, a sieve with 5 micron openings is formed. We can also electrically
address these ridges in X and Y directions. These ridges are like silicon
crystal whiskers, extremely strong and very flexible. Figures 34 and 35 are
top view SEM photomicrographs of wafers etched simultaneously from
the back and front.
Figure 36 is a close view using the SEM at 1,600X and showing the
simultaneously etched top and bottom ridges. The exactness of the (111)
plane is clearly evident. Also, the low angle 35 degree (111) effect is again
evident in both top and bottom ridges. Figure 37 shows a low power, 45
degree angle SEM photomicrograph taken at the edge of a broken slice
which had previously been ODE etched.
The previous photographs showed the effect of orientation dependent
etching straight down 90 degrees to the surface. Figure 38 shows the
opposite effect, orientation dependent deposition by use of CVD with
Chemical Etching and Slice Cleanup 163
'"'C
Q)
...c:
u
+-'
Q)
ex)
~
'"'C
C
ro
LU
o
o
'"'C
Q)
+-'
C
o
c-
o
~
'+-
o
...c:
c-
ro
:....
0')
o
+-'
o
...c:
c-
~
LU
en
o
M
Q)
~
::s
.2'
u.
164 Semiconductor Materials
...;
c
°
0
c.
(1)
0)
-c
0;::
~ 0
0ro-
W oro-
(/)
0 '+-
It) 0
co ~
X'" w
CJ)
~ c
Lq 0
0';:;
~ co
()
~
~
w c0)
C co
0 E
.c
0" 0)
~
~
I
t-
M
Q)
~
:::s
en
Io-
U.
Chemical Etching and Slice Cleanup 165
Figure 32: SEM photograph of cross-section, (110) tilted 10 (at saw) ODE etched.
166 Semiconductor Materials
Figure 34: Top view of (110) ODE, top side and bottom side, simultaneous
etched X-V grid.
168 Semiconductor Materials
Figure 35: Magnified view of ODE etched (110) silicon as in Figure 34.
Chemical Etching and Slice Cleanup 169
Figure 36: Magnified close up of (111) planes in X and Y directions and V etched
effect of low angle (111) planes.
170 Semiconductor Materials
c
o
c
o
,~
'Ci)
(ij
+-'
(/)
>
l-
(,)
Q)
c,
c
'Ci)
"'C
C
ro
ro
+-'
(/)
>
l-
(,)
~
o
0-
"'C
Q)
+-'
'Ci)
o
0-
Q)
''''C
>
'Vi
::J
o
Q)
C
ro
~
::J
E
'Ci)
'+-
o
c
o
'~
(,)
Q)
Cf
(/)
(/)
ol-
(,)
'+-
o
..c
0-
ro
l-
C)
o
+-'
o
..c
a..
00
M
...
Q)
:J
.~
U.
172 Semiconductor Materials
Table 3: Applications for (100) and (110) ODE in Silicon Device or Structures
(100) (110)
Radiation hardened circuits High voltage diode arrays
Electronic printer Vertical multiple jct solar cell
Crosspoints Wave guides
Iso planar Sensistor
Poly planar I R detectors
V MOS Metallization templates
J FET arrays High value capacitors
D I process th ickness ind icator Optical coli imators
Sol id state pressure transducer Black bodies
Solar cell anti-reflecting surface
"C
Q.)
+J
co
u
"C
C
C
co
.c
+J
(/)
(/)
Q.)
(/)
Q.)
c
co
a.
c
.~
+J
+J
:::J
o
C)
c
-C
o
+J
.c
u
+J
Q.)
C
o
.~
co
Q.)
c
Q)
"C
+J
U
Q.)
~
Q.)
"C
~
o
Q.)
(/)
::)
()
::::T
(1)
3
0"
Q)
m
.....
(")
::::T
::J
co
Q)
::J
0..
(J)
0"
(1)
()
CD
Q)
::J
C
U
Figure 40: Photograph of slice surface containing concentric circle, growth striations. Secco etched. (Magnification less than
"'-J
indicated .) 01
"'-J
0)
(j)
CD
3
0"
o
:::J
a.
c:
(')
,.....
o.,
~
Dl
,.....
.,
CD
pj"
en
Figure 41: Photographic cross-section of cleaved surface showing bulk damage, denuded substrate, backside gettering and epi-
taxial layer delineated by Wright Jenkins etch. (Magnification less than indicated.)
DISLOCATIONS lOOPS AND DAMAGE AT BOTTOM OF GROOVE
1
o
::r
CD
3
n"
0)
m
r-+
()
::r
::J
<C
0)
::J
C.
en
0"
CD
o
CD
0)
::J
C
"0
Figure 42: Photograph of grooved, and W-J etched, section of heat treated slice, showing dislocations, loops, and bulk stacking
-....J
fault damage delineated by W-J etch. (Magnification less than indicated.) -....J
178 Semiconductor Materials
()
::r
CD
3
o'
~
m
.-+
()
::r
::J
(Q
n>
::J
0..
en
0'
CD
()
CD
n>
::J
c
"0
Figure 43: Photograph of heat treated, grooved and W-J etched section showing dislocations, bulk, stacking faults and II carbon II
"""-J
defect cones, (Magnification less than indicated.) <0
ex>
o
en
CD
3
o·
o
::J
a.
c
()
~
o
~
s:
n>
~
CD
~
Di·
00
Figure 44: Photograph of (100) epitaxial stacking fault, carbon pits, dislocations and carbon gettering effect, W-J etched.
(Magnification less than indicated.)
()
::J'"
CD
3 0
0
~
m
r-+
()
::J'"
::J
co
~
::J
0-
en 0
0
CD
()
CD
~
::J
C
"0
(X)
~
Figure 45: Enlarged view of (100) ESF and getteringo (Magnification less than indicated 0)
....A.
00
tv
en
CD
3
o·
o
:::J
a.
c
('")
P+
o
~
~
Q)
P+
CD
~
iii·
en
Figure 46: Cleaved cross-section, W-J etched, denuded substrate with epitaxial film. (Magnification less than indicated.)
Chemical Etching and Slice Cleanup 183
SLICE CLEANUP
During the past decade the advent of higher packing densities, in large
scale integration (LSI), very large scale integration (VLSI) and now ultra
large scale (ULSI), has created a demand for ultra clean processes and
manufacturing processing areas. One of the first and perhaps most critical
factors is the crystallographic, physical, and chemical cleanliness of the
184 Semiconductor Materials
co
.
~
CI)
:s
CJ')
u:
PHAS:E
()
::T
CD
3
o·
~
m
r-+
()
::T
::3
co
Q)
::3
a.
~
o·
CD
()
CD
Q)
::3
C
"0
Figure 49: (100) epitaxial stacking fault, photograph taken under Nomarskiinterference phase contrast, no etching. (Magni- ex>
(J'I
fication less than indicated.)
186 Semiconductor Materials
sooe ± SoC
* Choline Clean Choline H20 2 ***NCW·601A H2O
(CH~3N(CH2Ch20H)OH (Surfactant) Ultrasonic 10 min, 10 min
01, H20, spin dry
Percent 3 1 1 95
H2SO 4 H2 0 2
Percent 60 40
70 30
Oils and organic residues remaining on the wafer from the slice
polishing process may be removed by rinsing in an organic solvent such as
perchloroethylene or xylene. Freon fluorocarbon solvents are also used
for vapor degreasing or cleaning.
It was shown by Schwettman 15 in 1978 and confirmed later by others, 16,17
(Table 7) that the chemical cleanup process affects the oxidation rate,
oxide charges, surface state density, Qss' the offset voltage, V FB' and
therefore the device or circuit characteristics (Table 8). In bipolar circuitry
the preoxidation cleanup affects the oxide charge density, noise, junction
leakage, low current gain (beta), junction breakdown voltage, minority
carrier lifetime and isolation leakage between devices. In MOS circuitry,
the preoxidation cleanup affects the oxide charge density, lifetime, refresh
time, junction leakage, threshold voltage and breakdown voltage. (See
Table 9).
In 1981 the Japanese authors 18 reported the superior qualities of
choline based cleanups. This cleanup, (Tables 6,7, and 8), is based on the
reactions of trialkyl (hydroxyalkyl) ammonium hydroxide (THAH) or choline,
which is a strong base, and H 2 0 2 . The THAH solution can be made free of
sodium, potassium, and heavy metals. It iswatersoluble, and can be readily
removed by a deionized water (01) rinse. The choline cleanup removes
188 Semiconductor Materials
1400
1200
~ 100'0
tJ')
tJ')
w
Z 800
~
u
:c
.-w 600
o
X 1000°C
o
DRY 02
400
(100) Si
n-TYPE, 2-8U em
• } SCHWETTMANN. etul
200 : E.C.S. EXT. ASS. VOL. 78-1
• TI-KEN BEAN
e E.C.S EXT. ASS. VOL. 81-2
OL-_ _.l...-_ _..l..-_ _1---ll-----Jl-----'--~--~--~---'
o 20 40 60 80 100 120 140 160 180 200
~1 ':t
'1
74
7R
76
WOK (Elee) = oxide thick·
411 ' 429 ... Ot; -Jj24
ness by CV measurements
~ 412 432 -.88 32.5 4 7.9
=
o (Calc) defect density
calculated
7 26q 296 -86 256 24 9.5
R 272 298 -.88 + .02 ·030 25.7 34 9.4
EaR (NS) z: oxide electric
Q 277 300 -.87 26.3 20 97
field breakdown voltage
by nanometric measure.
G
E B
+ +
Si
Bipolar MOS
reused the following day without degradation, however, this is not recom-
mended at this time.
For a production process a two-step cleanup using e.g., the RCA HCI
(acid) cleanup followed by a choline cleanup is recommended. A multiple
bath setup wherein the slices would go through at least two or three
cleanup solutions, with the solution tanks being rotated from last to first as
the solution is contaminated and/or used up is suggested for each process.
REFERENCES
1. B. Schwartz and H. Robbins, J. Electrochem. Soc. 123: 1903 (1976).
2. W.C. Dash, J. Appl. Phys. 27: 1193 (1956).
3. J.B. Price, ECS Silicon Symposium (1973).
4. E. Bassous, IEEE Trans. Elec. Dev. ED-25: 1178 (1978).
5. K.E. Bean, IEEE Trans. Elec. Dev. ED-25: 1185 (1978).
6. K.E. Petersen, Proc. IEEE 70: 420 (1982).
7. D.L. Kendall, Appl. Phys. Lett 26: 1500 (1974).
8. R.M. Finne and D.L. Klein, J. Electrochem. Soc. 114: 997 (1967).
9. E. Sirtl & A. Adler, Z. Metallk 52: 529 (1961).
10. F. Secco d'Aragona, Phys. Status Soiidi (a), 7:577 (1971).
11. M. Wright, J. Electrochem. Soc. 124: 757 (1977).
12. D.G. Schimmel, J. Electrochem. Soc. 126: 479 (1979).
13. K.H. Yang, J. Electrochem. Soc. 131: 1140 (1984).
14. W. Kern and D. Puotinen RCA Review June (1970).
15. Schwettman et aI., ECS Ext. Abs. 78-1, (1978).
16. Bruce Deal, Fairchild, Personal Communication.
17. K.E. Bean, G.A. Brown, (see Tables 8 and 9).
18. H. Muraoka et aI., ECS Ext. Abs. 81-2: 570 (1981).
5
Plasma Processing:
Mechanisms and Applications
w.c. Dautremont-Smith
Richard A. Gottscho
R.J. Schutz
AT & T Bell Laboratories
Murray Hill, New Jersey
1 . INTRODUCTION
191
192 Semiconductor Materials
discharges and how the form of power dissipation affects su rface chem istry.
Plasma-surface chemistry is then discussed first in terms of chemical
vapor transport and then in terms of the molecular interactions between
reactive adsorbates, surfaces, and products.
The second section dealing with plasma etching is oriented toward
process design. The steps involved in fabricating a planar MOS silicon
transistor are outlined in order to illustrate the variety of ways in which
plasma etching is used in microscopic pattern transfer. Trade-offs between
different reactors, and opposing design constraints, are discussed along
with processes for etching specific materials.
The final section deals with plasma deposition of materials for micro-
electronic applications. The plasma-enhanced chemical vapor deposition
(PECVD) of silicon nitride and silicon oxide is discussed in detail, with
emphasis on recent advances in techniques and property correlations.
Amorphous and microcrystalline silicon deposition is discussed from the
point of view of the parallels and contrasts with silicon nitride deposition.
Comprehensive coverage of this widely studied field has not been attempted,
but adequate reference is made to the large number of existing reviews.
Emerging PECVD applications, in epitaxial semiconductor growth (Si, Ge,
GaAs, GaSb), metal deposition, silicide deposition, and the deposition of
non-silicon-based oxides and nitrides, are also covered. Emphasis through-
out the section is on the influence of plasma parameters on the wide range
of accessible film properties, and in particular in indicating those of
relevance in a variety of semiconductor applications. Plasma film growth,
such as oxidation and nitridation, is not discussed.
2. FUNDAMENTAL ASPECTS
The idea behind this section is to present properties of plasmas and
plasma reactors which are common to all types of processes, be they
etching or deposition. The emphasis is on unifying concepts. Where
appropriate we have drawn upon the literature for specific examples to
illustrate a concept; more often than not the examples have come from the
etching literature since etching processes are generally better understood.
In some instances, the subject matter has not been covered completely
and some references may have been omitted for the sake of covering a
wide range of unifying concepts rather than covering one or two areas in
depth. To our colleagues whose work we have not included, we apologize
in advance.
In Sec. 2.1, we discuss some of the fundamental aspects of plasmas
and sheaths, starting with definitions of their properties as they pertain to
plasma processing. Widely used equivalent circuit models of rf plasmas
are discussed in light of recent diagnostic results. The relationship between
the equivalent circuit parameters and processing variables will be empha-
sized. Next, we discuss the plasma-surface interaction. A useful and
general framework for understanding both etching and deposition, chemi-
cal vapor transport (CVT) theory, is reviewed critically in Sec. 2.1. The
salient features of this theory can be summarized in terms of an equivalent
Plasma Processing 193
A A,B
ELECTRODE ~
Charge Density
E/N (Sheaths)
a 1 Td = 10- 17 V cm- 2
Plasma Processing 195
plasma. The electrons rapidly drift toward the positive electrode leaving
behind a net positive restoring force, which prohibits further electron
depletion. A steady state is achieved when the plasma potential is sufficiently
positive that electron and ion loss rates become equal. The time it takes
electron plasma frequencY,17,19
(1)
(2)
(3a)
(3b)
(O
0)
LOW FREQUENCY en
RS1 < =r CS1 $f- HIGH FREQUENCY CD
3
o·
0
::J
a.
c
RS1 I ~ -LX S1 (")
ro+
, 0
-t
~RP
Rp ~ I I
A
=rep
s:
Q)
ro+
Rp CD
-t
~
(J)
_ RS2 I !- l.XS2
R
S21
I
r CS2
1 L RS 1 - RS2 »R p XS1 -X S2 >Rp
a b c
Figure 2: (a) Electrical discharge equivalent circuit. Each part of the discharge, sheath and plasma, is represented by a parallel
combination of resistors and capacitors. In addition, the sheaths have diodes in parallel in order to account for rectification of
the applied voltage. (b) Low frequency equivalent circuit. The resistive impedances are much less than the capacitive impedances.
(c) High frequency equivalent circuit. The capacitive impedances in the sheaths are less than the resistive impedances so that a
capacitive current shunt exists.
Plasma Processing 197
, ",
", , , ",
, ,
, " , " v 1
",
, "
'~
./ "p = WC p
"
/ ""
~
,,
is' ,
1
X :-
S wcs ---',
s
WEoA
'
, , '",
a 104t--~
" ,
--~----_\...-_-_'--._-----
N \ o " , " ,
.ts (mivi ) ~s '"
Rs = C;-oAs '"
I
noe2
I
As '~',
~ ,
Zs ,
,,
10- 3 10- 1 1 10
W/21T (MHz)
Figure 3: Plasma impedances vs. frequency. Resistances, Rs and Rp, are deter-
mined by ion and electron conductivities, OJ = 5.5 X 10-'7 mhos cm -1 and 0e =
10-4 mhos cm-1 , sheath and plasma thicknesses, Is = 0.25 cm and Ip = 1.0 cm,
respectively, and the electrode area, As = Ap = 45.6 cm 2 . The capacitive impe-
dances, Xs and Xp, are determined by the th icknesses, areas, and frequency.
(oAr S
Cps = -_!-. (4)
, lp,s
From Figure 3, we see for frequencies below 100 MHz, the plasma body
impedance is predominantly resistive. However, the sheath impedance
changes around the ion plasma frequency (Wi':::: 1 MHz), from being pri-
marily resistive at lower frequencies to being primarily reactive at higher
frequencies. In other words, the sheath capacitor becomes a current
shu nt. Above wi' the ions can no longer respond to the instantaneous value
of the field (see below) and so displacement instead of conduction cu rrent
dominates.
2.1.2.1. Experimental Verification. Recently, experimental diagnostic
techniques have been developed which allow the concentrations of free
radicals and ions as well as electric field amplitudes to be measured in situ
and non- intrusively.21 ,22,30-47 For a recent review, see Reference 37. These
techniques allow us to see the extent to which the equivalent circuit model
is appropriate.
2.1.2.1.1 Voltage distribution. How does the electric field vary across
the electrode gap? According to the equivalent circuit model, we expect
the field to be largest in the sheaths overthe frequency range of interest to
plasma processing (see Table 1 and Figure 3). In situ electric field measure-
ments are consistent with the model. The local field is plotted as a fu nction
of position for rf discharges through BCI 3 in Figure 5. For the range of
frequencies studied, 50 kHz to 14 MHz, the field is always greatest in the
sheaths. 21
2.1.2.1.2 Diode behavior. How does the local electric field vary with
time in the electrode sheaths? This has been measured by spectrally
resolving laser-induced fluorescence from parity mixed rotational levels of
the BCI radical formed in rf discharges through BCI 3.21,46,48 The different
parity levels are mixed by the local electric field; the extent of mixing is
dictated by the field strength as well as the excited state dipole moment
and zero-field energy level splitting. 46 ,48 Parity mixing is detected by
recording the intensities of transitions which would be "forbidden" in the
absence of an electric field and whose line intensity is a direct measure of
the electric field amplitude. The technique is illustrated in Figure 4, where
the field has been sampled at two different times during the rf cycle by
firing the laser synchronously with the applied rf. 22 Note that the change
signals for the "forbidden" and "allowed" lines in Figure 4 are equal and
opposite in sign because the "forbidden" component has borrowed intensity
from the "allowed" component as a result of the field-induced mixing. Both
measurements are made one mm from the powered electrode sheath. The
upper trace is obtained at a time when the powered electrode is the
momentary anode (applied voltage a maximum); the "forbidden" line in the
center is weak compared to the "allowed" lines on either side. Thus, the
field is small during this part of the cycle. However, when the laser is fired
Plasma Processing 199
I I I
ANODE
CATHODE
during the cathodic part of the cycle (lower trace), the "forbidden" line is
comparable in amplitude to the "allowed" lines. During this part of the
cycle, the electric field is strong. Thus, the applied field is rectified in the
sheaths. As a result of the difference in electron and ion mobilities the
plasma potential is "tied" to the anode potential. This behavior is accounted
for in the equivalent circuit (Figure 2) by placing diodes in parallel with the
sheath resistors and capacitors.
2.1.2.1.3 Frequency response. As the frequency is varied an interesting
transition is seen to occur in Figure 5. The local field decreases by roughly
a factor of two above 5 MHz. The peak voltage which must be applied
across the plates in order to maintain constant power also decreases by
this amount (Figure 6a). From the equivalent circuit model we see that this
transition corresponds to a change in the sheath impedance from being
predominantly resistive below wi to predominantlycapacitive above wi. The
total impedance decreases above 5 MHz as the sheath capacitive impe-
dance becomes less than the ion resistive impedance (Figure 3). At
constant power the ratio of voltages at high and low frequency is given by,
1.5
0 50kHZ
1.2 5MHZ
~
'",b..,
E
u
~
~
0.9
0
...J
lJ.J
«,
~
l.L
u Cl3MHZ
0: 0.6
.-u -lit' . "., ~ ,
w
...J *"-. ~
'-..
W
~
" ·'lI. ,
0.3 .".,......... """" . '" 0
II"""", •
............
0
0 2 4
POSITION (mm)
0.7
(a)
0.6
> 0.5
~
w
(!)
~
0.4 •
:...J
~ 0.3
~
c:t
~ 0.2
0.1
(J)
a
t-
Z
::::>
>-
a:: 6
• PIE
0 LIF <b)
~
f-
eD 5
a::
-c::x:
en
w 4
r=
U5
z 0
~ 3
~
0
•
w 2
tia::
ffi
~
-< a
&5 104 10 5
FREQUENCY (HZ)
Figure 6: (a) Peak voltage for discharge through BCI 3 as a function of frequency
at a pressure of 0.3 Torr and a power density of 0.13 W cm -3. (b) BCI radical densi-
ties as a function of frequency. PIE refers to plasma-induced emission, i.e. ex-
cited state radicals, while LIF refers to laser-induced fluorescence, i.e. ground
state radicals (from Reference 21 ).
202 Semiconductor Materials
(5)
which is in very good agreement with the values in Figures 5 and 6a. This
transition is also apparent when one examines the voltage and current
waveforms in Figure 7. 21 Not only does the current increase and the
voltage decrease above 5 MHz but the phase shift between the two
0
increases toward 90 as the capacitive component becomes an important
sheath current shunt.
2.1.2.1.4 Power dissipation. From the above discussions of voltage
distribution and frequency effects we can see how and where power is
dissipated in rf discharges as a fu nction of frequency. At low frequency, the
sheaths are primarily resistive and the sheath resistance is much greater
than the plasma resistance. Thus, we expect power dissipation to occur
primarily in the sheaths. Ions accelerated by the sheath field can dissipate
their energy in basically two ways. Collisions with neutrals can result in
ionization, excitation, chemical reactions and/or heating; collisions with
electrodes can result in any combination of surface damage, sputtering,
secondary electron emission and/or heating with either implantation or
reflection of the incident ion.
The response of ions to the sheath field and the consequences of this
response at low frequency can be seen very clearly in Figures 8 and 9. 21 In
Figure 8, the density of CI 2 + measured in the sheath by laser-induced
fluorescence is plotted as a function of time. During the positive part of the
cycle when the local field is very small (Figure 4), the ion concentration
builds as a result of both diffusion of ions from the plasma into the sheath
and ionization byelectron impact. During the negative part of the cycle, the
ion concentration decreases precipitously as a resu It of the large cathodic
fields (Figure 4) which sweep the ions out of the sheath toward the
electrode. The extraction of high energy ions at low frequency causes
ionization, excitation, and secondary emission of electrons. This can be
seen in Figure 9 where the uv emission intensity from BCI radicals is
recorded as a function of position across the electrode gap at different
times during the rfcycle. 21 When the ions are extracted during the cathodic
cycle the emission is brightest because the ions and secondary electrons
collide with neutrals and produce a cascade of ionization, excitation
(Figure 9), and dissociation as they are accelerated across the sheath.
This periodic build-up and high-energy extraction of ions in the sheath
has no dc or high frequency analog. In dc anode sheaths, ions build up to
some steady-state level but are never extracted with high energy. In dc
cathode sheaths, the ion concentration can never build up to a large value
owing to the large, extracting sheath field. While the time-averaged flux
may be similar in the dc and low frequency rf discharges, the pulsed ion
bombardment in the latter may make a difference in heterogeneous
reaction rates. In high frequency(Le. above wi) discharges, the ions respond
only to the average field, which is less than at lower frequencies owing to
the resistive to capacitive transition discussed above. The net result at
Plasma Processing 203
-0.2 -0.4
0.4 0.2
0.2 ,, 0.1
,,
0
, 0
,
\
-0.1
> -0.2
..:.:::
\ <t
fIIII'fIIII'
'----- -0.2 t-
:: -0.4 z
<9 W
<t 0.04 cr
~
0.4 750 kHz cr
--J ~
0 U
> 0.2 0.02
0 0
-0.2 -0.02
-0.4 -0.04
0.4 0.08
50 kHz
0.2 0.04
0 0
-0.2 -0.04
-0.4 -0.08
Figure 7: Current and voltage waveforms for discharges through BCI 3 at several
different frequencies and a pressure of 0.3 Torr and a power density of 0.13 W
cm-3 (from Reference 21). One unitof1Tcorrespondsto a 1/2v,wherevistherf
frequency in Hz.
204 Semiconductor Materials
rt')
I 109
E
u
<.J
Q)
o
E
o 2 3 4
TIME ( UNITS OF 1T)
Figure 8: CI 2 + ion density vs. time in the sheath of a 55 kHz discharge through
CI 2 at 0.3 Torr and 0.6 W cm-3 • One unit of 1T corresponds to 18.2 j1sec.
high frequency is that the ions experience a smaller extraction force and
again build up to some steady state concentration.
The response of ions to the instantaneous field and the change in the
amplitude of this field with frequency at constant power affect the energy
with which ions impact electrode or device surfaces. This is evident in the
ion energy distributions measured by Bruce 49 as a function of frequency
(Figure 10). At low frequency, the ions are accelerated to the full sheath
potential, which is approximately the full applied potential (see Figure 5),
on every half cycle as they traverse the sheath. To the extent that there is
ionization and energy loss in the sheath, the ion energy distribution will be
skewed toward lower energies. At 40 Pa (0.30 Torr) of CI 2 and 100 kHz, the
CI+ and CI 2 + ion energies on average are significantly less than the full
sheath potential but the maxim um ion energy is approximately eq ual to the
full sheath potential (Figure 10).49,50 At 13.7 MHz, the ion energy distri-
butions are much narrower and the maximum ion energies are much less
than the peak sheath potential.
Because of the transition from resistive to capacitive sheaths above wi'
power dissipation must shift from the sheaths to the plasma. Since the
current is conducted primarily by electrons in the plasma, the dissipation
mechanisms must involve electron-neutral collisions: ionization, disso-
ciation, and excitation. The shift in power dissipation is evident in Figure
11, where the time-averaged concentrations of excited and ground state
BCI radicals are plotted as a function of position across the gap. Three
different frequencies are displayed; the shifts in emission intensity and
radical density are indicative of the shifts in where power is dissipated.
When these profiles are spatially integrated we can learn not only where
but also how power dissipation changes with frequency. As frequency
increases, the total, spatially-integrated densities of both excited and
Plasma Processing 205
II
OL...-L---L..--L--1-...l....o-.-JL........-L--L---L--'--............---a..........J.--.L.--'--..I...-.II........L---.l.....-.L.-~~ .....
o 4 8 12 16 20
AXIAL POSITION (MM)
Figure 9: Time-resolved BCI emission obtained from a 50 kHz discharge through
BCI 3 at 0.13 W cm -3 (from Reference 21 ).
>
cc
<
cc
t- 10 2
ea
cc
~
L&.J
~
"'""-
Z
"C
10
13.7 MHz
Cf+
5 I
PIE
4 LIF ..,1ItI-'----- 5MHZ
,,'"
,.J /
3 I
I
....-
Cf) 2
I-
Z
::>
>-
0:
<I
0: a
f-
eD
a:: 4 2.5MHZ
~
>- 3
I- ~\
,,:
C/)
Z \
W 2
f-
Z
Z
,
I
o -.,. J
(f)
C/)
~
a
w
4 0.25 MHZ
t.,
3
I \
I \ I
/1I
, I \ "'\
I
I I
,,
I
2
,I "
" /
/""
,,
I
0
0 20
E 1.5
oce
-....
~
....
LU Si
ce
a:: 0.5
::c
....
~
L.U
u; 0.01 0.1 1 10 100
EXCITATION FREQUENCY (MHz)
3
w Cc.f4: Ar/1:1
:IS
t= 0.4 Torr
-....
::c 75 W
.... ~
~
LU
2
SS ELECTRODES Al
..... -!:. 1 em SPACING
....cec oce-~
t:.
....ce
LU
a::
(6b)
plasma (Figure 7) to see that the simple circuits of Figure 2 are deficient. A
solution would be to consider the resistors and capacitors to have time-
varying impedances. Forexample, the origin of the time-dependent sheath
resistance can be seen in Figure 8. Once the ion density has been
extracted by the field, the ion conductivity must necessarily decrease.
2.1.3 Feedstock Composition. Much has been written about the
effects of feedstock composition on both gas-phase and surface plasma
chemistry so we will not discuss the matter in great detail here. The reader
is referred to recent review articles and references therein for more detailed
information. 4,5,1 0,16,61 There are basically two types of effects that feedstock
composition can have on the plasma: physical and chemical. Most of the
literature has dealt with chemical effects.
2.1.3.1 Chemical effects. The effects of feedstock composition on
gas-phase chemistry depend upon the degree of dissociation of the
feedstock constituents so that these effects are not independent of other
plasma parameters such as frequency (Section 2.1.2.1.3), power (Section
2.1.5), and residence time (Section 2.1.4). Given that there is some degree
of radical production, then the reactions proceed much like one would
expect from fundamental chemical principles. Forexample, the addition of
02 to a CF 4 discharge results in increased production of F atoms and CO
and reduced production of fluorocarbon radicals and molecules. 4,62-64,333
For example, the reaction,
1.0
9'e-~
I ,
0.8
/0
1_
~
'-
~
! r '\
W 0
l) 0.6 I '
~
w
I '0
,
> p ,
~ 0.4 I '0
~ I ~,
~ I "
0.2 0 ETCH RATE (Si) 0,
10 20 30 40 50 60
PERCENT 02
bution can be seen in Figure 14 where the emission intensities from F and
Ar are plotted as a function of the 02 feedstock concentration in a CF4/°21 Ar
discharge. As the oxygen concentration increases, the Ar emission intensity
decreases indicating that the nurn ber of electrons with energies above the
7504A line threshold must also decrease. In other words, the electron
energy distribution appears to cool. Note that the F atom emission lines at
7037 Aand 6856A, which have similar excitation thresholds, increase with
02 concentration. The overall increase in F atom production byfree radical
reactions (see above and Figure 14) more than compensates for the
decrease in excitation efficiency.
Two explanations for the cooling of the electron energy distribution
with the addition of 02 are plausible: (1) the enhanced production of F
atoms and the introduction of oxygen, both species being electronegative
compared to CF x compounds, results in electron cooling by electron
°
captu re processes; or, (2) the lower ionization potential of and 02 relative
to F and CF x66 results in electron cooling by inelastic ionization of neutral
and 02. Of these two, the second explanation is more viable because
°
electronegative gases do not attach high energy electrons very effectively
(see Reference 67 and references therein). On the other hand, the intro-
duction of a lower ionization potential gas necessarily reduces the average
electron energy since a lower energy collision channel has been opened.
212 Semiconductor Materials
4,------.,-----,------,---....,....----------
CF4+ 0 2
o Ar (7504A) INTENSITY
- EXCITATION EFFICIENCY
FOR F (7037A)
o o o o
.......
0~---'-----'--_---L..-- --.....4-----'---~
(b)
• F (7037A) INTENSITY
• F (6856A) INTENSITY
o F ATOM DENSITY
o'--_--"__---'-__ - - L . _ _---'-- _ _- ' - - _ _"""---_----J
20 40 60
° OXYGEN PERCENTAGE
Figure 14: F and Ar emission vs. O2 concentration in a discharge through CFJ0 2/Ar
(from References 30 and 63, reprinted with permission of the American Institute
of Physics).
Plasma Processing 213
followed by,
(8b)
( 0)
~
>
w
(!)
<t
~
-l -0.25
0
>
-0.5 -
>-
.... 8X107
en (b)
z 100 % N2
~ 6
0
+0 4
V.J
N
x NI
2
+N
Z
0
>- 4X109
r-
ooz 100 % C.e2
w
a
Ol 2 _
~
(\J
Cit
x
+~
~
u 0
>-
~ 8X10 6
(J)
z
w 6
0
+0' 4
W
N
X 2
+C\J
z 0
>-
.-
(J)
4X109 90 % N2
10 0/u Cl 2
(e)
z
w 3
0
t::
Ol 2
C\J
x CJ2!
+(\J
~
() 0
0.5 1.0 1.5 2.0
TIME (UNITS OF .".)
time, which is simply proportional to P/¢. This can be seen very clearly in
the mass spectrometric data of Truesdale et al. 69 wh ich is reproduced here
as Figure 16. They studied the plasma decomposition of C 2 F6 as a function
of both pressure and flow-rate and examined the stable products down-
stream from the dischargewith a quadrupole mass spectrometer. The final
concentrations of C 2 F6' CF 4 , and C 2 F4 vary both with flow-rate at constant
pressure and with pressure at constant flow-rate. When the ratio of pressure
to flow-rate is held constant, however, the final product concentrations
also remain constant (Figure 16).
IOO----------.-------~--------
(0)
80
o~
rC2 F4
~
100 .2 .4 .6 .8 1.0 .2 .4 .6 .8 10 PRESS.,
. TORR
FLOW, STD, CC/MIN PRESS., TORR
5 10 15 20 25 FLOW,
I I
! CC/M N
The best way to vary residence time, Le. with minimal effect on other
plasma parameters, is to vary the flow-rate at constant pressure since
variation of pressure can have pronounced effects on the discharge
physical properties (see below). What happens to the gas-phase chern istry
when the residence time is varied? If we consider the rate of decomposition
216 Semiconductor Materials
and radical production to be fixed for a given power density, then it is easy
to see that the less time the feedstock gas spends in the plasma volume,
the less extensive the degree of dissociation. Thus, at high flow-rates, or
short residence times, the radical density will decrease with increasing
flow-rate. At low flow-rates, or long residence times, the extent of dissociation
may reach a limiting value and a dynamic equilibrium will be established
such that the radical concentrations become flow-independent.
Let us consider the effects of flow-rate on heterogeneous chemistry
when the heterogeneous rates are not rate-limiting: Le. when the surface
reaction probability is large. If the radical products of feedstock decom-
position are the primary surface reactants, then the low flow-rate radical
concentration may be neglible since the heterogeneous reaction acts as a
radical sink and the overall reaction rate may be small because of the small
reactant flux to the surface. At high flow rates, the feedstock may be
insufficiently decomposed to provide radicals for the surface reaction and
again the heterogeneous rate may be small. The overall dependence of the
surface reaction rate on residence time, or flow-rate, will exhibit a maximum
(Figure 17).19,70 The effect of flow-rate on heterogeneous chemistry when
the reaction is surface rather than reactant-supply rate-limited will be
discussed in Sec. 2.2.1.
2.1.4.2 Physical effects. The situation is very different when one con-
siders the effects of pressure and flow-rate on the physical properties of
the discharge and, in particular, the energy distributions of ions and
electrons. The effects of flow-rate on ion and electron energy distributions
are primarily an indirect consequence of the compositional changes
discussed above. However, pressure affects these distributions directly
_____ ~~~~~!5_L~~I~_E1_ _
t
w
SUPPLY RATE LIMITED ETCHING
~
0:::
:r:
u
t-
W
FLOW RATE----.
Figure 17: General ized flow-rate dependence of etch ing rate for the case where
the surface reaction rate is fast and the reactant is generated in the plasma. Two
limiting cases are obvious: (1) rate is reactant supply limited and (2) rate is re-
actant generation limited (after Reference 70).
Plasma Processing 217
by affecting collision rates. For example, the energy with which an ion
impacts a su rface is not on Iy dependent upon the sheath field, rffreq uency,
and ion mass but also the rate at which ions collide with neutrals as they
traverse the sheath. 19,56,71 ,72 If the collision mean free path is greater than
the sheath thickness, then ions which enter the sheath from the plasma
boundary will be accelerated by the full sheath potential and will impact
the electrode with a narrow but highly energetic velocity distribution.
Alternatively, if the collision mean free path is much smaller than the
sheath th ickness and if the ions lose all the energy gai ned from the field on
each collision, then
(9)
where E j is the ion kinetic energy at the electrode and F is the sheath field,
assu med to be constant with position over one mean free path, A. The truth
will generally lie somewhere between these two extremes.
Pressure also affects the electron energy distribution. Many electron-
neutral collisions result in the formation of ion-electron pairs. If the electron-
impact ionization mean free path is small compared to the sheath thickness,
this can lead to substantial ionization in the sheath, which in turn will alter
the ion energy distribution at the electrode surface and the sheath
thickness. 2o In general, the overall charge density and plasma impedance
can be expected to change with pressure in a complex fashion. Since the
ion and electron collision mean free paths can be expected to scale
inversely with pressure, Equation 9 suggests that the natu ral variable with
which charged particle energy distributions and denities can be expected
to scale is neither pressure nor sheath field but rather the ratio F/P. This
has been long appreciated by scientists studying dc glow discharge
physics. As we will see in Sec. 2.1.7, it is also a useful parameter in
designing and understanding heterogeneous plasma-surface interactions.
2.1.5 Power Density. Many things can happen when the applied
power density is varied. Generally, ething rates, radical densities, charge
densities, and sheath fields increase initially and then saturate with in-
creasing power. One reason why saturation occurs may be that the plasma
volume often increases as the power is increased and electrons and ions
acquire greater energy. This expansion may result in the discharge "finding"
other grounds and discontunities can result in measured plasma para-
meters. If the plasma volume can be maintained at a constant volume, e.g. by
mechanical or magnetic73 confinement, then an increase in power corre-
sponds to an increase in power density. This in turn will result in higher
electron energies, sheath potentials, and ion energies.
(10)
(11 )
Plasma Processing 219
j
I Sf
i __ 1_
Rr - . .
C'O""
Figure 18: Equivalent circuit for chemical vapor transport. The transport of
products from one surface to another is driven by an effective chemical potential
difference between the surfaces which may result in turn from differences in sur-
face temperature or ion bombardment. The effects of flow-rate are represented
by current generators so that in the absence of an effective chemical potential
difference, etch ing but not deposition may occu r (after Reference 75). See text
for definitions of circu it elements.
where Ci is the area of the ith surface and a i =a i+f3i is the reaction conduc-
tance. Slow reactions correspond to large resistances. The diffusive resis-
tance between the two surfaces is given by,
h2
Rd = D' (12)
(13)
where Ki,j = a i,j/f3 i,j is the equilibrium constant at surface i,j. The term
chem ical potential difference is used somewhat loosely here. A fi nite value
for zeta implies that the equilibrium states for the two surfaces will not be
220 Semiconductor Materials
IB = l (14)
R'
where R = Rri+Rrj+R d is the total circuit resistance. The reason for repre-
senting the surface reaction rates and diffusion rate as conductivites
shou Id now be apparent. At zero flow the product cu rrent, or etch ing rate, is
limited by how fast etching occurs on one surface, deposition on the other,
and diffusion of reactants and products in between. If the heterogeneous
reaction rate happens to be limited by reactant generation from a homo-
geneous process, the product current will be limited instead by that
process. This can be seen in a formal fashion by supposing there is a
precursor to reactant A in Equation 10. In terms of the CVT equivalent
circuit (Figure 19), this means that there will be an additional resistance in
series with Rr. In terms of the heterogeneous rate constant, a t - 1 = a A-1 +
a p ,-1 where a p is the sum of the forward and backward rates for the
precursor reaction p .... A.7 5
It is important to note that the above equation forthe product current is
valid, within the framework of the simple generic equation, for any departure
from equilibrium. In equilibrium, Ki = Kj, there is no chemical potential
difference and no net transport of A or B from one surface to another. If a
chemical potential difference is maintained between surfaces i and j, for
example by application of a temperature or electrical potential difference
(see below and Reference 58), there will be net transport of A and B from
one surface to another. Whether B is deposited on surface i and etched
from surface j or vice versa depends on the sign of zeta, which in turn
depends not only on any temperature differences between the surfaces
but also on the reaction energetics. This can be seen most clearly by
expressing the equilibrium rate constants in terms of the surface tempera-
tures and the Gibbs reaction free energy, ilG:
(15)
Thus, when ilG is positive (endoergic), zeta is positive for Ti-Tj>O and the
transport proceeds from the hot to the cold surface. If ilG is negative
(exoergic), zeta is negative for Ti- Tj>O and the transport proceeds from
the cold to hot surface. Regardless of the reaction energetics, the direction
of transport and the transport rates can be controlled by control of the
differential surface temperature.
2.2.1.2 Flow effects. The effects of finite flow rate on the chemical
vapor transport can be summarized by simply adding current generators to
the equivalent circuit as shown in Figure 18. For small flow rates, the
current generators provide an additional driving potential,75
Plasma Processing 221
where ¢ is the flow rate constant, Le. the reciprocal of the reactor residence
time. Now, even in the absence of a chemical potential difference between
surfaces i and j, net transport of A and B can take place. Flow-rate can be
used as a process control variable in a fashion which is beyond the simple
variation of reactant concentrations by variation of residence time (Sec.
2.1.4).
SELECTIVITY = edpOLy/ilpR/OXIDE
~ 250 50
:;:
i=
c.:I
200 .... >-
~I-
40
w en- • - PH
--'
w
150 w~
=1-
30
en cc.:l 0 - OXIDE
w 100 .... w
c~
20
= 50 :z::W
10
><
0
=-en
Both the driving potential difference and the reactive impedance are
modified by finite flow. At very large flow rates, the product current becomes
independent of both the chemical potential difference and the flow rate
and is limited only by the reactive resistance,
pV aU
IB( 00 ) -+ - - , - .- .. . (18)
kT Rl,Jal,J
plotted against the reduced flow rate, f = <pRd. It is the flow-rate relative to
the diffusive resistance which determines the overall transport rate. It the
diffusion rate of products and reactants between surfaces is slow, Rd large,
then the two surfaces behave somewhat independently and smaller flow-
rates are required to reach the high flow-rate limiting transport rate.
As shown in Figure 19a, three situations arise because of the compe-
tition between the flow-rate current generators and the chemical potential
difference between surfaces i and j (Figure 18 and Equation 17). Since d(2::
o in Equation 17, the flow-rate current generators can either work in
concert with or in opposition to the chem ical potential difference depending
on the sign of (and which surface is considered. The three curves, a, b, c, in
Figure 19a correspond to chemical potential differences greater than
zero, zero, and less than zero, respectively. Note that forthe case when «
0, the product current at surface i crosses zero at some finite flow rate, f o.
This means that below f o deposition occurs while above f o etching occurs.
At f o' no net transport occurs. If the chemical potential differences for
different substrate materials have different signs, this competition can be
used to great advantage in achieving large etching selectivities. For
example, when etching polysilicon in the presence of photoresist and Si0 2 ,
Zarowin 75 reports selectivities of >300: 1 (with respect to Si0 2 ) and >50: 1
(with respect to photoresist) at f o (Figure 19). This behaviorcan be explained
if the chemical potential difference, with respectto the counter conducting
electrode, is greater than zero for polysilicon but less than zero for
photresist and Si0 2 • At flow-rates below f o' deposition of Si occurs on the
photoresist and oxide. Since we have already concluded that (Si-(resist,oxide>O
this means that the deposition of Si onto resist and oxide must be endoergic
(see discussion after Equation 14 above). This example illustrates how we
must consider chemical vapor transport between not only the two electrode
surfaces but also the different parts of nominally the same surface.
Plasma Processing 223
(lga)
where dzi/dt is the film thickness rate of change (Le. the etching or
deposition rate), T is the gas-phase reactant lifetime, G is the gas-phase
reactant generation rate, p is the su bstrate density, M is the substrate gram
molecular weight, and No is Avogadro's number. The linear relationship ot
Equation 19 has been observed under a wide range of conditions. This
suggests that the assumptions inherent in Mogab's theory may be too
restrictive. The chemical vaportransport theory described above allows us
to examine loading effects when flow-rate effects cannot be ignored and
when reactant generation is not rate limiting.
Under conditions where the substrate transport rate is not limited by
reactant supply, the relationship between flow-rate, substrate surface
area and the transport rate is contained in Equation 17. At zero flow,
(1gb)
Note that Equation 19b also exhibits a linear relationship between the
reciprocal transport rate and substrate surface area. There is a direct
correspondence between the parameters in Equations 19a and 19b:
(20a)
VM .
T +-+ --(Rl + lid) (20c)
p
transport current. In the case of zero flow, reactant A and product 8 are
transported in opposite directions from one surface to the otherwhen the
chemical potential difference, " is finite so that one surface acts as a
reactant generator for the other surface. Similarly, the reactant loss time
constant, 'r, corresponds to the sum of the reactive resistance at surface j
and the diffusive resistance (Equation 20c), both of which correspond to
reactant loss mechanisms. The important point is that despite the radically
different assumptions in the two theories, there is a correspondence of
sources and sinks such that the overall functionality remains the same.
Thus, observation of a linear loading effect is not sufficient to determine
the rate-limiting process.
At higher flow-rates, the relationship between reciprocal transport
rate and substrate area is no longer linear (see Equation 17). The loading
effect is predicted to go through a maximum as the flow-rate is varied.7 5
The sensitivity of a process to the numberofwaferswill depend on the flow-
rate in a non-linear fashion. Although some of the aspects of this theory
have been verified (e.g. that there is an effect of flow- rate on load ing), more
experimental data are needed to assess the range of validity of Equation
17. One difficulty in obtaining such data is the change in discharge
composition which usually occurs when the residence time is changed.
(see Sec. 2.1.4). This could result in a change in the rate-limiting step from
heterogeneous to homogeneous. Another complication may arise when
more than one reactant is important. This effect alone can give rise to a non-
linear loading curve. 81 If changes in flow-rate change the relative concen-
trations of these reactants fu rther deviations from the simple theory above
can be expected. To test the range of validity for the CVT equivalent cir-
cuit model, studies of spontaneous reactions, Le. without a plasma, would
be most appropriate.
2.2.2 Plasma Modified Chemical Vapor Transport. Until now we
have considered chemical vapor transport without considering the effects
of the plasma except to the extent that it modifies reactant concentrations
and diffusion coefficients. The major influence of the plasma, however, is to
modify the heterogeneous reaction rates by ion bombardment of the
surfaces. Although electron enhancement of heterogeneous rates has
been demonstrated 82 ,83, the sheath fields ordinarily are such as to repel
electrons and negative ions from device surfaces (see Sec. 2.1.2). In this
section we will see how this effect can be treated in a formal fashion within
the framework of chemical vapor transport theory.28,58,74,75 The following
section (2.2.3) will discuss the kinetic and microscopic origins of the
heterogeneous chemistry and plasma modifications.
In addition to the neutral reaction, Equation 10, we consider a parallel
ion-driven reaction: 74,75
Q'+
S + A+ + e- ~ B+ + e- ~ B (21)
(3+
This reaction is written in a formal fashion for simplicity. The ion need not
correspond to the neutral moiety nor must the product be an ion initially.
Electrons are included in Equation 21 merely to account for surface
Plasma Processing 225
a = NAZexp(-EA/kT) (22a)
(22c)
(22d)
For neutral dominated reactions, fA exp (U A/kT)< < 1 and the slope of Is vs.
1IT gives the neutral activation energy, EA. For ion-dominated reactions,
f Aexp(U A/kT»>1 and the slope gives -E A+. The fact that ion-dominated
reactions give smaller slopes (see Figure 20) indicates that the ionic
activation energy is smaller than the neutral activation energy. This is not
surprising considering that the ions have been accelerated to relatively
high energies by the sheath field. Another way to think of this activation
energy difference is to think in terms of effective temperatures. Because
the ion energy is superthermal, the effective temperature for the ion-
surface interaction is much largerthan forthe neutral-surface interaction.
226 Semiconductor Materials
1000 1000
(j)
I-
Z
:::;)
100 co
D'
S
w >
I-
l-
en
e(
D'
::J:
• z
w
I-
U
I-
~
w w
>
10 - • 10 i=
<
...I
W
D'
PCW/em 2) ECkeatlmole)
\J 0.89
o 0.40
28.4
30.6
••
6 0.15 38.8
• 0.62 34.5 •
I + fAexp(UA/kT) }
I(t = l( . . (25)
. { 1 + f ucxp(U13 /kT)
. {-- [L\G-~U-k1"ln(fA/fB)}
!(t ~ exp (26)
kT '
where Kt is the total (i.e. ionic and neutral) equilibrium rate constant, ~U =
UA - Us' Thus, the effect of ion bombardment is a modification of the
effective free energy. Alternatively, we can think of the surface temperature
as being modified, T* = T/[1-(kTlnfA/fs+~U)/~G]. If ~U/kT>ln(fA/fEJ, the
effective temperature will be hotterthan the actual surface tem peratu reo In
terms of the effective temperature, transport still goes from "hot" to "cold"
for endoergic neutral reactions and from "cold" to "hot" for exoergic
reactions. The difference is that "hot" and "cold" depend upon not only
surface temperature but also ion energies,which can be controlled by
frequency, de bias, and pressure. Thus, we see the complementary nature
of ion-enhanced chemistry and thermally enhanced chemistry. Of course,
they are not the same thing. The product distributions are likely to be very
different since the thermal energy deposition will be statistically distributed
to the various degrees offreedom but the ionic translational energy may be
disposed in very specific ways.
2.2.2. 1 Anisotropy. Now that we formally understand the effects of ion
bombardment on heterogeneous reaction rates we can understand how
anisotropic patterning (see Sec. 3.4.2) is possible. In general we need to
consider transport between not only the two electrode surfaces but
among all surfaces. Specifically, when considering anisotropy, these
surfaces reside on the same electrode but are mutually orthogonal. The
surface which is perpendicular to the electric field lines will experience
more energetic ion bombardment than the surface which is parallel to the
electric field lines as long as the ion transport across the sheath is
anisotropic. This will occur at higher values of F/P (see Sec. 2.1.4 and
Figure 21).
The specific value of F/P which gives a particular anisotropy in the ion
transport directionalitywill depend upon the gas composition and operating
frequency(see Sec. 2.1). For example, the value of F/P, estimated from the
square root of the rf power densitY,28 required for a given degree of
anisotropy is shown in Figure 21. For a pure CI 2 discharge, where resonant
charge exchange can be very effective in reducing the ion energy aniso-
228 Semiconductor Materials
figure 21: Anisotropy as a function of the ratio of the square root of rf power
density to pressure (approximately equal to F/P) for three discharges illustrating
the effect of gas composition on the degree of anisotropy in the ion energy distribu-
tion (from Reference 28, reprinted by permission of the publisher, The Electro-
chemical Society Inc.) and the value of F/P required for a given anisotropy.
Plasma Processing 229
tropy, the value of F/P required for a specific etch profile anisotropy is
substantially greater than for a discharge through 10% CI 2 in He, wherethe
chlorine cations do not charge exchange effectively with He neutrals. The
value of F/P required forthe same degre of anisotropy in an HCI discharge
is in between because only 50% of the neutrals, at most, will charge
exchange efficiently with CI+ ions.
How the ion transport directionality is transferred to the surface,
producing anisotropic features, will depend upon the relative neutral and
ionic reaction rates, i.e. the neutral and ionic activation energies, and the
ionic activation energy dependence on ion translational energy (Figures
21 and 22).28,74,75. For example, if the reaction is neutral dominated (r 0 = 1 in
Figu re 22), it does not matter how an isotropic the ion energy distribution is,
the etched profile will be isotropic (assuming that there is not a crystalline
orientation dependence to the neutral reaction rate).
lkT'r
2 T
---L
t kT+
---;:-r- ---.
(+::.: Ry::': Rx
!kT+r~ETCH
2
SURFACE/",
OR ELECTRODE
roQ:!l~
8= rD+ 11 - rJ eXP[-I3(~- )J
1
R = [/-L-min- I ]
1000
P =- [Torr]
~
~
~'Q..
100
"'U
"- n;-
-,-' " (J)
"", 3
, !l)
" , "'U
" , ~
o
10' , , , , , , ,
, t ' ,
C1
I , , ,
CD
(J)
1.6 l.M 2.0 2.2 2.4 2.6 2.M 3.0 3.2 3.4 3.6 3.H 4.0
(J)
3 1
lIT x 10 , K- ~
<0
Figure 23: Etch rate of Si when exposed to XeF 2 as a function of temperature (solid curve and circles). The etch rate minimum
is ind icative of competition between thermal activation of the etch rate and thermal desorption of the reactant (from Reference I\)
c.v
87, courtesy of V.M. Donnelly). The dotted line corresponds to the etch rate of Si when exposed to fluorine atoms.
232 Semiconductor Materials
25 ----.----.....-----r---~-___r--_r_-__..,._____,
~ F2 ADMITTED TO SYSTEM
20
15
1
15.5 Hz
N
= 15
[6 X 10 F ATOMS/cm 2]
10
c:I
j
5 SPONTANEOUS
ADSORPTION AND FlUORIDE----{-ETCHING
GROWTH ON Si OF Si BY F2
O'--_---L__~__--'-__--'-__ ~~
_L.__ _...&.___ _
o 2 4 6 8 10 12 14
t (min)
as SiF4 which is most likely trapped beneath the surface. 92 This observation
suggests strongly that the spontaneous etching of Si by XeF 2 proceeds
primarily by slow, sequential fluorination of Si followed by diffusion through
the interface layer and desorption of product SiF 4 . While this mechanism
wou Id be consistent with the formation of Si F4' another mechanism may be
active in producing other products.
What products are formed in the reaction of Si with fluorides? The only
stable product which has been observed downstream has been SiF 4 but
this does not mean that it is the only desorption product. Flamm et al.
addressed this issue by examining the chemiluminescence which accom-
panies the etching of Si by fluorides. 84 -86 They attributed this emission to
the gas-phase reaction sequence:
It is not obvious from these studies whether or not SiF2 is the dominant
reaction product. Moreover, since Flamm et al. did not have an unambiguous
means of measuring the SiF 2 radical (their conclusions rest upon their
assignment of the diffuse chemiluminescent spectrum), it was not certain,
initially, that SiF 2 was indeed a reaction product. However, in a molecular
beam study of the reaction of Si with F atoms, Vasile and Stevie 93 measured
directly the neutral species desorbing from the surface by mass spec-
trometry. By comparing the mass spectral cracking pattern of SiF4 to that
obtained from the products of the surface reaction, they concluded that
15-30% of the reaction products were radicals, presumably SiF 2, and the
rest were SiF 4, More recently, Winters and Houle 94 measured in a similar
fashion the neutral products from the reaction of XeF 2 with Si. They
compared their results to not only the SiF 4 but also the SiF2 cracking
pattern and were able to determine more precisely the product branching
ratios for this reaction. They concluded that the dominant product is SiF 4
(85%) with the remainder of the product distribution comprised of SiF2and
SiF. These results are consistent with those of Vasile and Stevie. Any
microscopic mechanism proposed for the etching of Si by fluorides must,
at the very least, account for these various product yields.
Two reaction schemes have been proposed for the spontaneous
etching of Si byfluorides. Flamm and Donnelly4 have proposed a concerted
reaction model for the F atom etching of Si. In this model, the rate-limiting
step is formation of an SiF 2 radical physisorbed on an "SiF 2 'ike" surface.
This radical can desorb directlytogive the SiF2products observed or it may
react rapidly to form volatile SiF3 or SiF 4, This model accounts for the
identical temperature dependences for the Si etching rate and the SiF3*
chemiluminescence. The reaction is said to be concerted because the
arrival of reactant and formation of a new Si-F bond is coordinated with the
departure of SiF x products.
Another mechanism has been proposed recently by Winters et al. B
They noted that the reaction of F with Si should be similar to the reactions
°
of and 02 with sem iconductors and metals. The latter is believed to occu r
by the so-called Mott-Cabrera mechanism, where an oxide surface is
formed rapidly upon exposure of the clean surface to an oxygen environ-
°
ment. Adsorption of or 02 onto the oxide layer is followed by formation of
oxygen anions by tunneling of electrons from the metal substrate through
the oxide overlayer. The electric field produced by the charge separation
of anions and holes results in field-enhanced diffusion of the anions
through the oxide layer and growth of the oxide layer at the metal-oxide
interface. Winters et al. proposed that the F-Si interaction should proceed
in an analogous fashion with the only difference being that the silicon
fluorides are volatile so that once a new fluoride layer is formed below the
initial fluoride layer, the initial layer desorbs or the underlying layer
percolates out. This mechanism is consistent with the results of McFeely
et al. mentioned above. 90 -92
234 Semiconductor Materials
TOP VIEW
VACUUM CHAMBER
5cm
DEFLECTION
PLATES
APERTURE
PLATES
forthis are not entirely clear but one problem is certainly the wide variety of
experimental conditions that have been employed (Table 2). Another
possible source of difficulty is the reliability of some of the numbers
summarized in Table 2. In particular, the neutral fluxes reported in the
neutral beam experiments are in every case estimated by assuming
certain characteristics of the expansion. Inon Iy one case is the neutral flux
calculated in this way compared to other independent measurements. 112
With these caveats in mind, let us consider what these experiments tell us
about the mechanisms which are important in ion-assisted etching.
First of all, it seems clear that under certain circumstances the mech-
anism for ion enhancement differs fundamentally from simple physical
sputtering. The clearest evidence forthis comes from the work by Mayer et
236 Semiconductor Materials
25
Ne+ AND XeF 2
iF 20
~
en
:IE
.-
C)
c 15
§..
=
~
;: 10
==
y
t-
~
u; 5
Ne+ AND Cl 2
0
0 10 20 30
GAS FLOW RATE (10 15 MOLECULES/SEC)
Figure 26: Si etch yields vs. XeF 2 and CI 2 neutral flux when a 1 kV Ne+ion beam
is simultaneously incident upon the surface (from Reference 97).
119,120,123 0- 2.4 X 10 14 1 X 10 13
0.06 0-60 Si (l00)
a1. 109 ,112 and Okano and Horiike 104 who measured the dependence of the
Si etch yield on the ion beam angle of incidence, OJ' Mayeret al.'s results are
reproduced here in Figure 27. For the case where no neutral reactant is
present and only a rare gas ion is incident upon the surface, the yield peaks
near 60° as expected for a purely physical sputtering process (see
Reference 1 and references therein). However, when CI 2 is admitted to the
system, the etch yield is observed by both groups to peak at normal
incidence (0°). At this angle the ion energy tends to be dissipated into the
bulk via a collision cascade or spike. 124 After correcting the angular
dependence for the physical sputtering component (determined by using
the Ar+ beam alone), Okano and Horiike 104 found the etch yield to follow a
cos OJ dependence, which they attributed to an ion-induced chemical
Plasma Processing 237
1000
N
EI~E
u
-
Ct>
Cf)
600
200
o 10 20 30 40 50 60
ANGLE, DEG
Figure 27: Si etch yield vs. Ar+ ion incident angle and ion energy when Si is ex-
posed simultaneously to neutral el 2 (from Reference 109, reprinted with per-
mission of the American Institute of Physics).
10 3
-
::i
d
w
-0
""
Z
-0
la'
Figure 28: SiCI 2 product translational energy distribution, dN/dE, from the Ar+
ion induced reaction of chlorine with silicon (from Reference 114, reprinted
with permission of the American Institute of Physics).
1. 0 r--------,----.-~-----,------__r___.
w
..
C)
<X
a:
w
>
o
(.) 0.5
w
z
a:
o
-J
:I:
(.)
2.3 Modeling
We have discussed plasmas and plasma-surface interactions but it
remains to put everything together in order to describe the system as a
whole. The interaction between various parameters, which will be further
discussed as it pertains to particular applications below, makes the task of
a global model extremely difficult. The approach taken recently by Edelson
and Flamm 125 and by Kushner 126 is to select the most important homogen-
eous reactions and solve the corresponding set of differential equations.
In many cases, the rate constants are unknown and approximations must
be made. In particular, the dependence of a rate constant on temperature
and/or molecular internal energy distributions is unavailable. As if this
alone were not problem enough, no model to date has dealt in a self-
consistent fashion with the spatial inhomogeneities in concentrations and
energy distributions for both neutral and charged species let alone temporal
variations in these quantities.
242 Semiconductor Materials
3. PLASMA ETCHING
3.1 Introduction
3.1.1 Outline. This section deals with how plasmas are used in
etching processes for microelectronic device fabrication. The method of
patterning, using lithography and etching will be outlined. Etching process
parameters and goals will be defined along with methods of affecting
these parameters. Conventional commerical etcher designs are presented
and examples of specific applications to Si and III-V devices are given.
3.1.2 Pattern Definition and Transfer. The formation of a layer of
material which is only present in predefined areas can be accomplished by
two techniques, lift off and etching. Lift off is accomplished by first defining
a polymer lithographic mask which is the negative of the desired pattern
(see for example References 130 and 131). Figure 30a illustrates the
process. The mask is commonly referred to as photoresist, if optical
lithography is used to define the polymer. Just "resist" is a more general
term which encompasses polymers defined by any exposure tool including
x-rays or electron beams. The layer is then deposited and the wafer is
placed in a solvent which dissolves the resist, removing any part of the
layer on top of the resist. Lift off can only be used when the layer deposition
process does not exceed the temperature at which the resist begins to
degrade, 200-300°C. This section will not deal at all with lift off techniques
but will concentrate on plasma etching.
Etching requires that the layer be first deposited and a positive resist
mask be defined on top. The wafer is then exposed to an environment
Plasma Processing 243
I)
~
SUBSTRATE
R_E_S_IS_T_
",-I
DEFINE RESIST
SUBSTRATE
DEPOSIT LAYER
2)
ETCH LAYER
3)
STRIP RESIST
STRIP RESIST
3) 4>
(0) (b>
Figure 30: (a) This sequence illustrates pattern transfer using the lift off tech-
nique. (b) This sequence illustrates pattern transfer by etching.
which removes the layerwhere it is not covered by the masking resist. This
process is illustrated in Figure 3Gb. This figure shows shematically that
during the etching process the mask may also be partially removed.
In the following sections we will discuss chemically active plasmas,
however, etching also occurs on exposure to a chemically inactive plasma
where an inert feed gas such as argon is used. Here material erosion or
sputtering 132 is caused by bombardment with positive ions accelerated
across the sheath. A small sputtering component is present in all plasma
etching processes. The etching process known as ion beam milling 133
removes material by sputtering but the ionization and acceleration of the
beam are performed separately, away from the etching material or target
as it is called.
244 Semiconductor Materials
3.2 Equipment
3.2.1 Parallel Plate Etchers. The first technologically significant
plasma etching reactor is typically referred to as a parallel plate etcher. It is
configured essentially like the example shown in Figure 1. A round, rf
driven electrode is positioned parallel to another round, grou nded electrode
on which multiple wafers are placed. 134 This arrangement can also be
utilized for plasma-enhanced deposition and is discussed in that regard in
section 4.2.1. Similar considerations discussed there regarding pumping
gases and safety also apply here.
The dc sheath potential, across which ions are accelerated, is deter-
mined by among other things the area ratio discussed in Section 2.1.2.2.
Since the reactor itself is grounded the rf driven area is smaller than
the total grounded area. This leads to relatively low acceralerating
potentials across the ground electrode sheath. For historic reasons etching
in this type reactor at relatively high gas pressure (0.1-2 Torr) is referred to
as plasma etching (PE).
PE is frequently characterized by high selectivity (see Sec. 3.4.4) and
isotropic etching (see Sec. 3.4.2). The dominant mechanism in PE is
thought to be spontaneous etching by chemical species generated in the
plasma with only a small contribution from energetic ions. This is because
the F/P ratio is low.
A more recent embodiment of this geometry is shown in Figure 33.
"'U
Q)
en
3
m
.,
"'U
o
()
CD
en
en
::J
co
Figure 31: A cross section transmission electron micrograph of an MOS transistor (micrograph courtesy of R.V. Knoell, AT&T
r\)
Bell Laboratories). ~
01
GROW THERMAL FIELD OXIDE DEFINE AND ETCH GASAD REGIONS tv
~
0'>
en
CD
2 KIIl1fEITillITJ r:i~jIII~jri~fjt~~trmmffimtm
3
SUBSTRATE ~GATE OXIDE 0"
o
:::J
0-
c
(')
DEPOSIT GATE MATERIAL DEFINE AND ETCH GATE ro+
o
~
~
4 :rftffttfi~iftt~~{J WlJJ Ht~jt~ttt~}}~:~:~~~~~~tttf~~jf:tttt~r~~: OJ
ro+
CD
~
7 8:~ll'
B
GAS IN
WAFERS
TO
VACUUM A
PUMP
Figure 33: A schematic illustration of a parallel plate etcher which can be oper-
ated in the PE or the RSE mode (from Reference 134, © 1981 IEEE).
248 Semiconductor Materials
METAL
VACUUM
BELLJAR
WAFERS MOUNTED
TO CATHODE
R.F. DRIVEN
HEXAGONAL
CATHODE
GAS IN
TO
VACUUM
PUMP
III
Figure 34: Illustration of a HEX etcher showing half of the twenty-four wafer
positions.
Alel
261.4nm
Ai Ai
CO
OH7
ETCH ETCH
BEGIN END
f ~
>-
~
~
b (f)
z
w
~
z
2 4 6 8 10
TIME (min)
Figure 35: (a) Emission spectrum of CCI 4 plasma at 80 mTorr and 1.5 watts
cm -2 during plasma etching of AI. (b) CCI 4 etching of AI monitored by a spec-
trometer set to the 261.4 nm AICI band (from Reference 137, reprinted with
permission of Solid State Technology, published by Technical Publishing, a
company of Dun and Bradstreet).
Plasma Processing 251
SILICIDE/POLYSILICON ETCH
EXPERIMENTAL CURVE
z
:::J
ai
n::
<!
w
o
Z
<!
~
o
W
-.J
l.L
W
0::
Figure 36: Experimental reflectance signal from a 6328;\ laser for a silicide/poly-
Si structure. The signal only shows the initial portion of the poly-Si etch (after
Reference 138).
252 Semiconductor Materials
3.4.2 Feature Edge Profiles. Some plasma etches and virtually all
liquid etches remove material isotropically, that is, the etch rate is the same
in all directions. Figure 37a shows schematically the edge profile of an
isotropically etched masked feature. As is clearly seen such an isotropic
process causes an effective decrease in feature size by"undercutting" the
mask. It is possible to compensate for this reduction in feature size by
providing wider features in the mask, however this is not a desirable
solution for VLSI devices for at least two reasons. First, the undercut, and
therefore feature size, depends on etch rate, film thickness and overetch
time making the feature size extremely difficult to accurately control.
Second, mask compensation wastes area between features which
decreases packing density and circuit speed by requiring longer, more
resistant interconnections.
Anisotropic etch processes where the vertical etch rate is sig nificantly
higher than the horizontal etch rate, are therefore necessary. Figure 37b
shows schematically the results of etching where the horizontal etch rate
is zero. Often the anisotropic ion energy distribution is directly responsible
for anisotropic etching. That is, edge profiles of etched features can be
explained by a vertical etch rate which is much greaterthan the horizontal
etch rate. In this case, if these profiles do exhibit slight undercutting it
occurs at the top of the feature, as shown in Figure 37a. This undercut
should be larger for thicker layers, because the region directly adjacent to
the mask is exposed to the etching atmosphere for a longer time. The
undercut should also be larger for longer overetch times, for the same
reason.
An interesting example of how feedstock composition can affect
anisotropy was reported by Mogab et al. 141 and later discussed by Flamm
et al. 4 Poly-Si etches isotropically in the PE mode using a chlorine feedstock
It was found that adding C 2 F6 to the plasma results in features that are not
undercut. This result is explained by assuming the formation of a "recom-
(0) (b)
ISOTROPIC AN ISOTROPIC
MASK
Figure 37: (a) The schematic cross section of a layer isotropically etched with a
mask over a portion of the layer. (b) The cross section of an anistropically etched
layer.
Plasma Processing 253
binant species" or etch inhibitor on the side walls of the profile. The exact
composition of this deposit on the feature walls is not known but is
suspected to be a polymer. The deposit is also observed on horizontal
surfaces at higher than optimum C2 F6 flow rates. Under optimum conditions
the result is etching on horizontal surfaces, deposition on vertical surfaces,
and anisotropic profiles overall. This interpretation is consistent with the
chemical vapor transport theory discussed in Section 2.2.1. Asimilareffect
has also been credited for the anisotropic etching of aluminum. 142
The recombinant model assumes this passivating layer could be as
thin as a monolayer, however, significantly thicker sidewall layers, easily
visible in an SEM, have been reported. 143 In this case poly-Si was etching in
a CCI 4 plasma. The presence of the layer was again credited with anisotropic
etching. When no sidewall layer was observed the masks were severely
undercut.
Undercut feature profiles are frequently observed that cannot be
explained by assu ming the etch to have a vertical and horizontal component.
A finite horizontal component, no matter how small it is, should always
cause the deepest undercut to occur directly beneath the mask (Figure
37), where the side of the feature is exposed longest to the plasma. The
RSE of GaAs 144 in a mixture of CI 2 and Ar results in profiles shown
schematically in Figure 38. This profile cannot be explained by an etching
process with vertical and horizontal components because the deepest
undercut occu rs well below the mask edge. Redeposition of the su bstrate
material must also playa role in determining the final surface morphology.
The loss of a protective layer on the feature sidewalls is thought to have
produced the undercut profile but a detailed explanation does not exist.
Such a narrowing of an etched feature at approximately half its height has
also been observed in chemically assisted ion beam etching. 145 In this
technique XeF 2 gas is ionized by electrons emitted from a hollow cathode
source. Positive ions are then accelerated by grids in the direction of the
etching wafer. When grid conditions cause a defocussing of the beam it
diverges by as much as 20° and the features are undercut in a mannervery
similar to Figure 38. When the beam is collimated nearly vertical profiles
are observed. This result demonstrates the relationship between the
anisotropy of ion transport and feature profiles.
3.4.3 Uniformity. Ideally the etch rate should be constant, or uniform,
at all etching positions. However, this goal is seldom achieved. Electric
field and composition grad ients can cause large variations in rate. Wh ich of
these is the dominant mechanism must be determined before any improve-
ments can be made. Plasma composition gradients can be caused by local
depletion of the active species. Such depletion can be affected by gas
residence times, which in turn are controlled by source gas flow rates and
loading effects. A nonuniformity which is affected by flow rates therefore
points to a composition gradient mechanism. This problem can often be
solved by a more uniform introduction of the source gas into the reactor.
Electric field nonuniformities are more complicated to deal with since
they are the result of the interactions of virtually all of the plasma parameters.
Often a change in the reactor geometry can improve etch uniformity
without changing desirable properties of the etching process. With batch
254 Semiconductor Materials
processes one must be concerned with not only intra-wafer but also inter-
wafer uniformity because many wafers are etched simultaneously. Since
single wafer etchers need only provide good intra-wafer uniformity, they
should, in principle, provide superior uniformity with respect to batch
etchers. In practice, this might not always be the case because single
wafer etchers operate at higher power densities and higher etch rates in
order to achieve higher throughput (Sec. 3.4.5). Uniformity is generally
more difficult to achieve at higher etching (or deposition) rates.
3.4.4 Selectivity. A process is selective if it etches the desired
material at a high rate and all other exposed surfaces at a low rate.
Selectivity is defined as
standard etch time is either calculated from known etch rates or determined
in situ by an end point detection technique (see Sec. 3.3). To determine an
overetch time, the uniformity of the process must be known. An extremely
uniform process might require a small overetch which, in turn, allows for a
poorer selectivity. These two parameters are therefore interrelated.
Other factors also influence the required selectivity of a process. For
example, the gate etch mentioned in Sec. 3.1.3 is shown schematically in
Figure 39. Ideally, at the end of the standard etch time, the material under
the mask will remain while the other material will be totally removed.
However, because of the excellent step coverage of the deposition process,
material on thewall of the FOXwill remain. Thiswill, of course, only betrue if
the horizontal etching component of the process is zero. In order to
remove this "stringer" an overetch of approximately 100 0/0 must be carried
out. As previously mentioned the thin GOX is used as an etch stop. If this
GOX is 200A thick and the FOX is 3000A thick then a selectivity of at least
30 is required if removing 100A of the GOX is permitted. Taking the
uniformity into account will cause the required selectivity to be even
higher.
In practice such a high selectivity along with an an isotropic etch profi Ie
is not easy to achieve. High selectivities are typically achieved in systems
where chemical etch rates dominate. This is not the case when the
physical sputtering contribution to the etch rate is significant. This contri-
bution is relatively independent of etching material and impinging ion
species. It does, however, increase with increasing dc bias voltage. 133 For
this reason higher selectivities are typically achieved in the PE mode, with
its inherently lower sheath voltage, than the RSE mode. Higher selectivities
(3) AFTER
OVERETCH
... ::::<)
Figure 39: A sequential representation of a gate etch wh ich illustrates the need
for an overetch to remove material deposited on the field oxide wall.
256 Semiconductor Materials
can be achieved in the latter case by reducing the rf power which in turn
reduces the dc bias voltage. As previously discussed operating in this
regime moves in the direction of isotropic etching. A trade off therefore
frequently occurs between selectivity and anisotrophy.
Optimizing the selectivity can often be achieved by the proper choice
of feedstock and flow rates, as pointed out in Section 2.1.3.1. A good
example of affecting selectivity by blending feedstock gasses exists for Si
and Si0 2 in CF 4 /0 2 /H 2 mixtures. 147 Free F atoms are the active etchant
species and the F atom concentration has been shown to depend on the 02
concentration in the feedstock Figure 13 shows how the etch rate of Si is
affected by the 02 concentration in a CF 4 plasma. 63 The etch rate of Si0 2 in
this mixture has a similar dependence on 02 concentration except at
higher concentrations the rate does not falloff so severely as for Si.
Dilution and surface affects playa lesser role because the Si0 2 itself is a
°
source of 2 , Adjusting the concentration of 02 in CF4 can be used to
achieve a selectivity of at least 3 for Si0 2 over Si at 50% 02 in CF 4 ,
The effect of adding H 2 to CF 4 is shown in Figure 40. 148 It is believed
that the sharp decline in Si etch rates at high H 2 concentrations is due to a
decrease in the F atom concentration caused by the scavenging of F
atoms by H 2, forming HF.149 In this system selectivities of 35 can be
attained at 40% H 2 in CF 4, Adding H 2 to the feedstock has the effect of
enhancing polymerformation in the etching chamber. Deposition of polymer
onto wafer surfaces during etching is an undesirable parasitic effect which
is best avoided in commercial processes.
3.4.5 Throughput. The number of wafers etched per unit time is
referred to as the throughput. When the technology exists, economic
70
RF POWER-0.26W/cm 2
60 PRESSURE - 4.7Pa
FLOWRATE-28scCm
c:. o .
SI02
'E
'"E
c:. 4
w
ti0::
30
I
U
J-
w 20
si
10
O_ _~ -"'-_----I'--_-i.--_--A.-_-""_----I"------I
o 5 10 15 20 25 30 35 40 45
0/0 H2 IN CF4
Figure 40: Dependence of Si0 2 and Si etch rates on percentage of H 2 in CF4
feedstock (from Reference 147, reprinted with permission of the publisher, The
Electrochemical Society, Inc.).
Plasma Processing 257
MOS devices. When these oxides are directly exposed to RSE, fixed
positive charge is trapped in the oxide, fast interface states are created at
the Si-Si0 2 interface and the oxide contains many neutral electron traps.152
Most of this damage is the result of ionizing radiation. Properties of gate
oxides are typically studied by measuring changes in their capacitance-
voltage (C-V) characteristics. 153 With this method the relationship between
the number of "hot" electrons injected into the oxide and the flat-band
voltage shift has been used to study the neutral electron trap density in
gate oxides directly exposed to RSE.154 Figure 41 shows how oxide
damage caused by RSE can only be completely annealed out at 1000°C.
Of more practical interest is damageto the gate oxide by RSE when it is
covered by the gate material. Th is is the case for the gate etch as demon-
strated in Figu re 39. It has been shown that damage then only occurs at the
periphery of the oxide because of radiation absorption in the gate. 152
Fortunately subsequent processing requires annealing at temperatures
high enough to remove this damage so it usually presents no problems to
device performance.
In the future, MOS devices will be madewith extremely short «1.0}-tm)
gate channel lengths. These devices must have very shallow «2500A)
source and drain junctions 155 which cannot be exposed to the present high
temperature processing. The problem of oxide damage caused by plasma
etching processes will then need to be further investigated.
3
10
> METAL
E ANNEAL
->
'<J
ONLY
10
2
10 '5 10 '6
N INJECTED, e/cm 2
Figure 41: Electron trapping in thermal Si0 2 that was exposed during the RSE
of poly-Si as a function of post-RSE thermal anneals (from Reference 152, ©
1979 IEEE).
Plasma Processing 259
include at least one poly-Si etch process. The most common is the MOS
gate etch. Recently efforts have been made to decrease the resistivity of
poly-Si gates while retaining the stable gate/gate insulator(poly-Si/Si0 2)
interface. This is accomplished by adding a silicide layer to the top of a
normal poly-Si gate. 156 Forthis technique to be useful, it must be possible
to anisotropically etch this bilayer structure, frequently called a polycide,
with the high selectivity discussed in Section 3.4.4.
3.5.1.1 Silicon. A primary concern when selecting a feedstock for any
etching process is that the final reaction product be volatile. Nonvolatile
products lead to residues on the surface which cause either surface
roughening or a total cessation of the etch. Since silicon chlorides and
fluorides are volatile, etching of poly-Si can be accomplished with most CI
and F based feedstocks such as C1 2, CCI 3 F, CCIF 3 , CF 4, NF 3 , SF 6.157
Generally feedstocks containing Fetch isotropically while those containing
CI can yield anisotropic profiles. The spontaneous etching of Si by free F
atoms generated in the plasma causes chemical, isotropic etching.? Un-
diluted CI 2 can be used to etch poly-Si anisotropically in a plasma 141 but a
problem is often encountered in initiating the etch through the surface
native oxide. This problem can be solved by using a CI containing freon
where the selectivity of Si:Si02 is not so great. Theselectivityof Si:Si02 has
been studied in the series CCI 4, CCI 3 F, CCI 2F2, CCIF3 and CF4.158 Results
indicate that selectivity decreases by a factor of five as the F concentration
in the source gas increases. This is consistent with CI not spontaneously
etching Si at room temperature. The characteristics of virtually all poly-Si
etching processes change abruptly when the poly-Si is heavily n-type
doped and activated. The etch rate then increases dramatically, and
isotropic profiles are often observed. When the poly-Si is p-type doped, on
the other hand, etching is indistinguishable from undoped poly-Si. If an n-
type dopant is implanted and etched before it undergoes an activating
anneal, it etches as undoped poly-Si. These facts lead to the speculation
that a high free electron density enhances the interaction of Si with
adsorbed CI atoms leading to higher etch rates and isotropic profiles. 141
Experimental evidence shows, however, that the etch rate does not corre-
late with free electron density159 so the mechanism is as yet unresolved..
3.5.1.2 Silicides. Undiluted CI 2 is ineffective in etching silicides so
most polycide etching processes use CI 2 mixtures or freons. Figure 42
shows a TaSi 2/poly-Si composite which was etched using a two-step
process. The TaSi 2 was first etched using a CCI 3 F feedstock and then the
poly-Si was etched with a CI 2 feedstock. For either a one step or a two step
polycide etching process the selectivity of the bottom poly-Si with respect
to Si0 2 is most important. There are many reports in the recent literature of
one-step processes and the following few are given only as examples.
BCI 3 /CI 2 mixtures are reported to etch TaSi 2/poly-Si composites anisotrop-
ically.16o TiSi 2/poly-Si is reported to etch anisotropically in a CCI 4/ Ar/N 2/H 2
mixture. 161 MoSi 2/poly-Si etches in NF 3 /HCI mixtures with a possible
selectivity of poly-Si:Si0 2 of 30.0. 162 While many mixtures of CI and F
bearing source gases can etch polycide structures, the conditions for a
one-step process which results in tightly controlled profiles along with
excellent selectivity (> 100) to Si0 2 have not yet been reported.
260 Semiconductor Materials
RESI
POLY- si
"1J
-,;
o(")
CD
(J)
(J)
~
(Q
a b
Figure 43: (a) A deep, slightly undercut trench which was substantially refilled with LPCVD poly-Si. A void in the poly-Si is J\)
0)
visible. (b) A tapered trench etched and refilled. No void is present due to the tapered trench.
262 Semiconductor Materials
THINNING
(a) (b)
Figure 44: (a) A schematic illustration of vapor deposited AI into a contact win-
dow. Thinning of the AI is evident. (b) Only slight thinning is present with a
tapered window.
INSULATOR
(0)
Figure 45: A sequential illustration of how a tapered resist pattern can be used
to form a tapered window.
Plasma Processing 263
operating conditions with the first three of these feedstocks. Si0 2 can be
etched anisotropically in CF 4 and NF 3 only at low frequencies and in the
lattercase only if it is diluted with an inert gas. 140 P-glass etches fasterthan
LPCVD Si02 which etches faster than thermal Si0 2; P-glass etch rates can
be as much as two times higher than for thermal oxide.
RSE of oxide in a CHF 3 plasma is an example of a system where
polymer formation affects the etching process. In addtion to forming
polymers on the cham ber walls, coati ng of some non-etch ing wafer su rfaces
can also occur. Polymer formation is greater at higher pressures (>40
mtorr) and higher flow rates. This deposition is thought to increase the
selectivity of Si0 2 to Si by selectively depositing onto Si and not Si0 2.
3.5.3 Etching of Aluminum Metallization. Aluminum metallization
can take the form of a pure AI film, an AI/poly-Si composite structure, an AI-
Cu alloy and an AI-Si alloy. While each of these metallizations schemes
have slightly different etching characteristics they all share the many
problems encountered in plasma etching of A1.169-171
AI has a native oxide which frequently etches at a much slower rate
than AI. At best this leads to an incubation period which must be accounted
for when predicting clearing times. At worst, this leads to the etching
surface being extremely rough because of slight nonuniformities in the
native oxide thickness. A reproducible process does not have a high
AI/AI 20 3 selectivity. Mixtures of CCI 4, BCI 3 , SiCI 3 and CI 2 meet this criteria
and have been reported to anisotropically etch AI.171
Once etched, an AI pattern cannot simply be removed from the chamber
into ambient atmosphere. If this is done severe corrosion of the AI takes
place. Presumably a chlorinated residue on the AI reacts with water vapor
in the air to form HCI which subsequently attacks the AI. Two ways of
avoiding this problem are to either rinse the wafers in water immediately
upon exposure to the atmosphere or to proceed, in situ, with an 02 plasma
etch which removes the masking resist and passivates the AI surfaces. 169
While it is certainly possible to anisotropically etch AI, it is frequently
difficult to maintain a process which produces the same anisotropic profile
from run to run over an extended period of time. The etched profile and etch
rate are strong functions of the concentration of the active species, CI, in
the plasma. High CI concentrations lead to high chemical etch rates which
in turn lead to undercut AI profiles. A process which depends on a fully
loaded system to deplete the CI concentration enough to produce an
anisotropically etched profile might undercut if less than a full load of
wafers is etched. 142 The recombinant and inhibiting mechanism has been
credited with the anisotropic etching of AI49 and the presence of a passivated
sidewall layer has been corroborated. 172 This passivating layer is found to
etch readily in an 02 plasma and its formation is probably threatened by
residual 02 in the chamber. Thus, the degree of anisotropy achievable in an
AI etching process may be strongly dependent upon the exposure of the
system to air. This problem is compounded by the formation of porous
aluminum chlorides on the reactor walls. This deposit readily absorbs
water vapor on exposure to air. Heating the reactor walls above room
temperature or using a load reactor decreases the watervaporcontamina-
tion problem.
264 Semiconductor Materials
frequently extremely rough 180 and the side wall of masked features fre-
quently slopes in such a direction as to make the feature larger at the
bottom. This second effect which is illustrated in Figure 46 has been called
negative undercut or overcut. Surface roughening is probably related to
nonstoichiometric material removal.
Bu rton et al. 176 reviewed the appl ication of plasma etch ing of the III-V
semiconductors to eight III-V device fabrication processes: (1) etching via
holes for through-the-chip interconnections, (2) etching wells selectively
th roug h one layer of a heterostructu re, (3) etch ing mirror facets or wi ndows,
(4) separating chips, (5) etching integral lenses, (6) etching gratings, (7)
forming mesas for restricting p-n junction area, and (8) etching channels.
They conclude that plasma etching is currently the process of choice in
only the first three applications. While the plasma etching of deposited
layers such as metals, oxides, and nitrides is widely practiced in III-V
manufacturing, the plasma etching of the semiconductor itself is clearly
not as important for III-V processing as it is for Si processing. The converse
is true for plasma enhanced deposition processes, so in the next section
application to III-V technology will be stressed just as applications to Si
technology were stressed in this section.
MASK
OVERCUT
SUBSTRATE
4. PLASMA DEPOSITION
4.1 Introduction
In this section, we discuss the application of plasmas to processes in
which material is deposited rather than removed. While this method of
deposition is often referred to as plasma deposition (PO) org low discharge
(GO) deposition, it is more accurately described as plasma-enhanced or
plasma-assisted chemical vapor deposition (PECVO or PACVO), since it is
a CVO process whose rate at a low deposition temperature is enhanced by
energy supplied from a plasma. In the interest of standardization, we will
use the term PECVO throughout this section.
Whereas CVO is a purely chemical process, PECVO additionally involves
physical processes such as ion and electron bombardment of the growing
film. However, as in normal CVO, all the chemical constituents of the
266 Semiconductor Materials
deposited film are introduced in the gaseous phase intothe reactor, whose
design is basically the same as that used for the plasma etching process
discussed in the preceding section. It is this property which distinguishes
PECVD from reactive sputter deposition, the other common reactive
plasma-employing deposition process. Reactive sputter deposition is the
deposition complement of reactive ion etching (RIE) or reactive sputter
etching (RSE), also discussed in the preceding section. In reactive sputter
deposition, only a part of the constituents of the deposited film are supplied
in the gas phase, with the other components supplied in the solid phase as
the sputtering target. These deposition processes are contrasted in Figure
47. PECVD alone is the subject of this section. In recent years, there have
been a number of reviews of PECVD, 182-194 mainly from the standpoint of a
thin film deposition technique. This work considers PECVD from the
standpoint of its use in semiconductor processing applications. Thus in
the ensuing sections, emphasis is on those materials used in semiconductor
processing and on the control of film and film/semiconductor interface
properties to meet the requirements of a given processing application.
PLASMA
•••••••••••••••••
••••••••••••••••••••••••••••••••••••••••••••••••• A8 X
••••••••••••••••••••••••••••••••• ~4~------ +
•••••••••••••••••
••••••••••••••••••••••••••••••••• C
r7777777777777a
HOT SUBSTRATE
A8 X + nC ---. AC n + xB
SPUTTER
TARGET
~:~
••••••••••••t t ••••••••••
••••••••••••••••••••••• I I •••••••••••••••••••••
••••••••••••••••••••••• X + C+ ••••••••••••••••• • •
•••••••••••••••••••••••• ~ •••••••••••••••••• 4 X+C
••••••••••••••••••••••••••••••••••••••••••••••••••••
••••••••••••••••••••••••••••••••••••••••••••••••••••
••••••••••••••••••••••••••••••••••••••••••••••••••••
rzzzzzzzZZZZZZZ21
SUBSTRATE
A + nC -.AC n
t
~ 1000
:::>
1200
1
~ 800
or
0:: >1 EVAPORATION
w ul
a.. PHOTO-ASSISTED
I
:E
w 600 CVD
1
~ r------.,
w 400 I
I- I
I
<l I I
a:: L __ J I
I- 200
en
£D
:::>
en o ~
10-2 ~0-1 1 10 10 2 103 104
PEAK ENERGY OF INCIDENT ATOMIC/MOLECULAR SPECIES (eV)
Application
Si Tedulo1ogy Local thermal oxidation mask (SiN x )
C~ntral lay~r of a tri-layer resist (Si0 2 )
Interlayer dielectric (Si0 2)
Passivai,ion (SiN x )
Contacts (silicides)
------------t--------------------
III-V Technology
- High Speed Electronics MISFET gate insulhtor on InP (Si0 2 )
- Optoelectronics H+, D+ or He+ implant mask (SiO z)
Anti-reflection coatings (on photo-detectors and LEDs)
(SiNxO y ) (SiNxHy )
Laser facet coatings - protective and reflectivity modification.
Etch lvlasks (wet and dry) (Si0 2 ,SiNx )
Contact area definition (Si0 2 ,SiN x )
DiffusionMa.sk (SiNx,Si0 2 )
Encapsulant/passivation (SiN I )
Auxiliary lift-off layer (SiO z)
Growth Mask in epitaxial growth (SiNx , SiO z).
Implant anneal cap (SiN x)'
-r---~-----------------
tungsten WF 6, H 2 292
Direct Variables:
Su bstrate Temperature
Resultant Variables:
Deposition Rate
Film Composition
Uniformity of Rate and Composition
Film Properties
Similar situations in plasma etching are being treated by Mocella et al. 129
using the statistical technique of Response Surface Methodology to
generate a model parametric expression of the process. Such an approach
has the potential to drastically reduce the number of experimental data
points needed to optimize a multi-parameter process, and therefore its
application to PECVD would be very beneficial. Thus deposition parameters
may be selected to optimize a specific film property for a given processing
application, and the sensitivity of that property to small variations in each
parameter established in order to determine the necessary levels of
parameter control.
4.2.1 Reactor Designs. All plasma deposition systems consist of
the following components: gas sources, gas flow controllers, a gas manifold
and distributor, a plasma chamber incorporating a heated substrate table
and pressure monitoring, an rf generator, a pumping system including
throttle valve, and an exhaust system. This is shown schematically in
Figure 50. In commercial systems, gas flow control employs electronic
mass flow controllers which can maintain absolute flows or fixed flow
ratios, pressure monitoring is by species-independent capacitance mano-
meters, and the pumping throttle valve is servo-controlled to maintain a
constant chamber pressure. Many systems now employ microprocessor
control.
It is the desig n of the plasma cham ber itself, in particu lar the electrode
and gas flow geometries, which distinguishes the various types of PECVD
274 Sem iconductor Materials
MASS
SOURCE FLOW
GASES CONTROLLERS
TEMPERATURE
CONTROLLER
CAPACITANCE
MANOMETER
PARTICLE
FILTER
OIL
FILTRATION
UNIT
reactor. The three main categories are shown schematically in Figure 51,
along with the relevant sub-categories. The class (c) shown is to some
extent a sub-division of class (a) in that an individual pair of electrodes is
parallel-plate, but since multiple pairs of electrodes distributed in multiple
columns along a tube which is enclosed in a diffusion-style furnace are
involved, it is a sufficiently different concept to merit separate description.
The parallel-plate, radial flow reactor shown in the first class was
designed by A.R. Reinberg,134,201 for silicon nitride deposition, and is
sometimes referred to as a Reinberg reactor. His original design [(a)(i)]
employed inward radial flow; a later variation,202 using outward flow is also
shown [(a)(ii)]. The radial-flow reactor is the most commonly employed for
plasma deposition. Electrode diameters are usually in the range of 25 to 55
cm, and batch processing is used. Whereas single wafer processing has
certain merits for plasma etching (see Section 3.2.3), it is not a viable
alternative for plasma deposition due to deposition rates (for acceptable
film properties) being rather lower than etch rates that can be employed.
The larger reactors are normally used for Si processing, and can accomodate
about 20 four inch wafers. The smallest reactors are more than adequate
for use in present III-V compound semicondutor technology. A typical
process time from wafer loading to removal is about two hours, depending
on at what temperature it is permissible to laod wafers on to the substrate
table. If native oxide growth on the surface of a III-V semiconductorwafer is
to be avoided or at least limited, it is necessary that the substrate table be
no more than a few tens of degrees above room temperature during wafer
loading. This can significantly increase process time, particularly in the
case of the larger reactors with substrate tables of large thermal mass. Use
of a wafer carrier plate to give a thermal delay slightly longer than the
pump-down time circumvents this problem. The final variety of parallel-
plate reactor, shown in Figure 51 as (a) (iii), is the "shower head" variety,
which employs a perforated upper electrode through which the reactant
gases are introduced into the plasma. An advantage of this scheme is that
the lower electrode (substrate table) is a continuous plate, in contrast to
the annular geometry required for radial flow. A disadvantage is that
cooling of the perforated, powered electrode is difficult, sometimes neces-
sitating pulsed power plasma operation.
The second type of reactor is the tube or barrel reactor, intowhich the rf
power usually is inductively coupled, by a coil around the tube, external to
the plasma region. This type of reactor is shown as (b) in Figure 51.
Capacitive coupling via external electrodes is also possible. As before, the
reactor is coldwall. This type of reactor is very simple and lends itself to
process research studies, but is not suitable for uniform, batch deposition
needed in a production environment. However, it is particularly suitable for
indirect plasma studies in which the substrate is not directly exposed to
the plasma, but is mounted downstream from the glow region. In this way
reactive radicals and atoms, in both excited and ground states, can arrive
at the heated substrate surface if their lifetime is sufficiently long. Since
the substrate is in a field-free region, energetic ion and electron bombard-
ment is avoided. This is beneficial for avoiding or restricting substrate
276 Semiconductor Materials
ai
Shielded
RF Power
Input
l
Electrode
Heater
Rotating Shaft
Out to Out to
VAC Pump VAC Pump
Magnetic
Rotation
Drive
Gases In
a ii
Figure 51: The main types of PECVD reactors (a) parallel-plate with (i) Rein-
berg-design inward radial flow (from Reference 183, reprinted with permission
of the American Institute of Physics) (ii) modified Reinberg-design with out-
ward radial flow (from Reference 202, reprinted with permission of Solid State
Technology, published by Technical Publishing, a company of Dun and Brad-
street), (iii) shower head gas distribution (b) inductively coupled tube and (c)
hot-wall (from Reference 203, reprinted with permission of Solid State Tech-
nology, published by Technical Publishing, a company of Dun and Bradstreet).
Plasma Processing 277
GASES IN
+
HEATER HEATER I
OUT TO VAC PUMP
ROTATING
SHAFT
!
OUT TO VAC PUMP
MAGNETIC
ROTATION
DRIVE
a iii
PRE-MIXED
GASES IN
RF
COIL
• PLASMA •
HEATED SUBSTRATE
TABLE (GROUNDED
OR FLOATING)
GASES EXHAUSTED
TO PUMPS
b
Figure 51: (continued)
278 Semiconductor Materials
RF
PRESSURE
SENSOR '
Cl ..
I --...
R
F
G
E
N
E
R
A
T
o
R
damage effects, but may not be beneficial to film properties (see Sec.
4.2.4).
The final type of reactor is the hot wall tube,203 shown as (c) in Figure
51. This is basically a diffusion furnace tube into which is inserted a
multiple array of parallel-plate electrode pairs, usually made of carbon.
Each grounded electrode can carry a single wafer in a vertical orientation.
Th is arrangement is suitable for large, regularly shaped Si wafers, but is not
suitable forthe smaller and often irregularly shaped and sized III-Vwafers.
An advantage of th is arrangement is its large wafer capacity; a com mercially
available system has a batch capacity of 84 four inch wafers. However in
many applications its process cycle time is rather longer than that of the
radial flow reactors. Since reactants are introduced at one end of the tube
and both become depleted and are accelerated down a pressure gradient
(thus reducing residence time) as they flow down the tube, it would appear
that the on Iy way to ach ieve uniform deposition is to use a large excess of
reactants and hence operate at low efficiency, a possibly costly operation
if very high purity SiH 4 is being used. One variation of th is type of reactor 204
pulses the applied rf power to prevent downstream depletion of reactants.
Commercially available PECVD reactors have recently been reviewed. 204
A major reactor design consideration not yet discussed is the frequency
of the rf plasma. The frequency range over which reactors have been
operated (~30 KHz to ~30 MHz) can be split into two distinct regimes, as
discussed in section 2.1. In one regime, which we will refer to as low
frequency rf, both ions and electrons respond to the rf field. Thus in one
half-cycle of the applied rf voltage, positive ions are extracted from the
glow region and accelerated across the sheath above the substrates on
the grounded table. Due to the fairly high pressure employed for PECVD
(~1 torr), most of these ions suffer collisions during acceleration through
the sheath. Nevertheless, there is a flux of energetic ions incident on the
substrate with an energy distribution whose high energy tail extends as
high as the amplitude of the rf voltage, which may be a few hundred volts.
This is illustrated in figure 1 O. Thewidth of this energy distribution depends
on pressure, gas species, rf power etc., and can be as large as a few
hundred eV. It is this directional ion flux which is responsible for anisotropy
and enhanced etch rates in low frequency plasma etch ing (see, for example,
Reference 140), as discussed in Section 2.2.2.1. This regime of operation
extends up to a few MHz, with the exact upper limit being determined by
the ion masses, pressure, etc. Above this transition frequency, we are in the
high frequency rf regime, in which the inertia of the ions prevents them
from responding to the rf field which is followed only by the electrons.
Although there is essentially no energetic (>50 eV) ion bombardment of
the sLJlJstrate, there remains a high flux of low energy ions (~25 eV), as also
shown in Figure 10 due to the small positive dc potential of the glow region,
in addition to the energetic electron bombardment. This low energy ion
bombardment is also present at low frequency. The difference in extent
and energy of ion bombardment fundamentally changes bulk film properties,
film/substrate interface properties and in some cases deposition rates.
All the types of reactors discussed can be operated at high or low
frequency, although high frequency(13.56 MHz) isgenerallyusedfortube
280 Semiconductor Materials
commonly used in PECVD are hazardous, being either highly toxic and/or
pyrophoric. Gases such as AsH 3 and PH 3 , used in III-V semiconductor
growth and as dopant sources for amorphous silicon and P-doped Si0 2,
are in the first category. Gases such as SiH 4 and Si 2H 6 and the organo-
metallics and metal carbonyls are in the second category. Thus stringent
safety precautions are necessary in their use. 207 ,208 This usually includes
the use of exhausted gas cabinets, cross flowor body purge regu lators and
dedicated purge gas supplies, and stainless steel plumbing with welded
joints where possible and metal gasket, gland type couplings where
demounting is necessary. In some cases local safety regulations may also
require the use of co-axial plumbing with inert gas purging of the outer
segment. In other cases, maximum concentration limits may be imposed
for the diluted gas mixtures which may be used.
The next safety consideration is the choice of pump fluid. 206 ,207 Use of
the inert perfluoropolyethelene pump fluids is generally the best choice,
even in view of the expense. It is mandatory to avoid the risk of pump
explosion if pure 02 is one of the source gases, or if the plasma process can
°
produce a significant amount of 2 , Plasma deposition chambers are
cleaned by plasma etching, generally using the fluorocarbon gases de-
scribed in section 3. Etching is efficient due to the large quantity of F atoms
produced in these freon plasmas. Since fluorine reacts with hydrocarbon
oils, this is another reason to avoid their use. In PECVD processes, gas
phase reaction between excited radicals continues downstream into the
pumping port, with resultant fine particulate formation in the pumping
lines. Many materials deposited by PECVD are refractory in nature, and in
fine particle form are highly abrasive. Thus it is necessary to employ high
conductance particle filters between the pumps and chamber. In addition,
oil filtration units on the mechanical pumps are necessary, both for fine
particulate removal and for acid neutralization to prevent pump corrosion.
Other necessary pump precautions are to gas-ballast the pump with an
inert gas, beginning this about 15 min prior to pumping the hazardous
gases and continuing it until well after the deposition is complete. Final
safety considerations are that plumbing on the exhaust side of the pump
must also be of high integrity and all metal construction, since this has to
carry unreacted hazardous gases as well as possibly hazardous reaction
products. In the case of toxic gases, appropriate scrubbers are also
necessary in the exhaust line, followed by monitoring of the effluent gas
from the scrubber. Toxic gas monitors should also be available for personnel
safety in the vicinity of PECVD systems.
4.2.3 Uniformity Considerations and Interaction of PECVD
Variable Parameters. It is by no means simple to model deposition rate
as a function of position in a PECVD reactor. Fortunately it is a relatively
straightforward task to establish empirically a particular set of conditions
which give spatial uniformity(assuming the reactor design itself is capable
of giving uniformity). To obtain uniformity it is necessary to strike an
appropriate balance between reactant supply rate and film deposition
rate. This balance is a function of the other deposition parameters.
Forexample, the deposition rate is primarily controlled by the r.f. power
supplied to the plasma, with a sub-linear increase in deposition rate
282 Semiconductor Materials
b
r - - - - - - - - - - - - - - - - - - - - - - - - - t R MAX
w
ti
n:: RMEAN
z
Q
r-
(f)
0
R M1N
0-
e
w
0
pumping speed, and hence reduced residence times. Thus at the periphery,
the higher supply rate is compensated by the reduced residence time, so
that the absolute reactant depletion (and hence deposition rate) is un-
changed, but the fractional depletion is reduced. As discussed in section
2.1.4, the reduced residence time may modify the homogenous and there-
fore also the heterogeneous chemistry, possibly influencing deposited
film properties.
Conversely, if the deposition rate at the inner-radius position is that
desired, then it is necessary to reduce both reactant flows and rf power.
Reduction in rf power alone will reduce the deposition rate at the outer-
radius position, but radial uniformitywill be achieved at the deposition rate
shown in curve (c), which is the mean deposition rate of curve (a). In other
words, reduction in rf power does not reduce the overall consumption of
reactants in the regime between curves(a) and (c), but continued reduction
in rf power below the level producing radial uniformity as shown in curve (d)
reduces mean deposition rate and consumption efficiency. In this regime,
reduction of reactant supply rates can re-achieve uniformity (curve (e)) at
deposition rate Rmin , and restore consumption efficiency.
Uniformity can also be achieved by varying the pressure, and this is
most easily understood in terms of residence time. At fixed reactant flows,
an increased pressure corresponds to an increased residence time. Thus
beginning with the situation depicted by curve (a), reduction in pressure
can achieve uniformity as shown in curve (c). Conversely, an increase in
pressure will produce even greater non-uniformity than that of curve (a).
However, if one has non-uniformity as in curve (d), an increase in pressure
can be used to produce the uniformity of curve (c). This also demonstrates
that at a higher pressure, a lower plasma power is required to produce
uniformity at the same deposition rate.
Experimental data exactly as depicted in Figure 52 are obtained for
the PECVD of Si0 2 from dilute SiH 4 in Arand N 2 0 source gas mixtures(see
Sec. 4.3.2). In this reaction the heterogeneous reaction rates are rapid, so
that the homogeneous chemistry is rate limiting (this assumption is implicit
in the discussion of Figure 52). In a case where the heterogeneous
reaction rates are slower, as for SiN)( deposition, the points made in the
above discussion are relevant to the reactant supply rate rather than the
resultant deposition rate. This tends to make deviations from uniformity
less severe. Rei nberg 184 has given a detai led discussion of spatial uniform ity
considerations, particularly from the standpoint of SiN x deposition.
The level of radial uniformity of deposition rate achieved by the above
methods in an inward radial flow reactor is shown in Figure 53 for three
different deposition rates. This data is for Si0 2 deposition from a 13.56
MHz plasma fed by 3% SiH 4 in Ar and N 2 0. Power density and total flow is
indicated adjacent to each curve. At a deposition rate of 400A min- 1, rate
uniformity can be ±O.5 0/0 as shown, while ±4% can be achieved routinely.
At deposition rates of 700A min- 1 and 11 OOA min- 1 uniformities of ±50/0
and ±7 % respectively can be achieved routinely. It can be seen that at the
highest deposition rate shown, when deposition rates at the inner and
outer radii are matched, the deposition rate in the center of the table is
about 7% lower. This is thought to be a result of the gas flow pattern
284 Semiconductor Materials
wem- 2 SCCM
0.026 372
-------<:0:>-------0-
5 10 15
SUBSTRATE RADIAL POSITION (em)
Figure 53: Actual radial uniformity achieved for PECVD of Si0 2 from 3% SiH 4
in Ar and N2 0 at three different deposition rates, at plasma power densities and
total gas flows as indicated. The area enclosed between the outer and inner radii
is 85% of the substrate table area. (From the authors laboratory.)
l
-----1.5
- - - - - - - 1.0 (8)
~--------- 0.5
1.5
1.0 (b)
0.5
/
~-------- 1.5
1.0 (c)
0.5
Figure 54: Calculated step coverage over an isolated vertical step (a), and over
vertical-walled channels of increasing depth-to-width ratio (b and c). Film thick-
ness is indicated as a multiple of the step height (from Reference 191 , reprinted
with permission of Solid State Technology, published by Technical Publishing, a
company of Dun and Bradstreet).
288 Semiconductor Materials
Film (a)
Film (b)
Figure 55: Schematic step coverage (a) conformal, resulting from rapid surface
migration, and (b) with coating thickness determined by solid angle presented to
the plasma, for isotropic reactant species arrival, with a mean free path longer
than the feature size, and for surface migration small relative to the feature size
(from Reference 191, reprinted with permission of Solid State Technology, pub-
lished by Technical Publishing, a company of Dun and Bradstreet).
Plasma Processing 289
profiles shown in parts(b) and (c) of Figure 54. Adams 191 has shown that the
step coverage of 13.56 MHz PECVD Si0 2, SiN x and a-Si follows this profile
shape, implying the lack of surface migration on the scale of a few hundred
nanometers. Thus coatings which are of uniform thickness regardless of
surface topography, as is shown schematically in part (a) of Figure 55, are
not produced by PECVD. Instead, coatings of thickness determ ined by the
acceptance angle from which reactants are arriving with random directional
distribution, with no significant subsequent surface migration, are produced,
as indicated in part (b) of Figure 55. This type of step coverage is much
better than that obtai ned from lower pressu re tech niques such as sputteri ng
in which there is a significant directionality to the depositing species. Thus
PECVD produces coatings with good step coverage, but these coatings
are not conformal in the strictest sense.
Films with very low pinhole densities less than 1 cm- 2 may be produced
by PECVD.185 Certain deposition conditions need to be met to achieve this
level, dependent on the specific material and source gases involved; this
will be discussed in the relevant subsequent section. There are a number
of general practices necessary to obtain low pinhole densityfilms. Substrate
cleaning and wafer handling techniques are obviously of paramount impor-
tance, as is reactor cleanliness. Reactor cleaning is usually carried out by
plasma etching. This should be performed before the deposited thickness
on the electrodes and chamber walls is such that flaking begins to occur;
for high stress films this occurs at smaller thicknesses. Coating the inside
of the chamber with a thin layer of the material to be deposited directly
after the plasma-etch cleaning is a worthwhile judicious practice. Non-
turbulent rough pumping of the chamberdown from atmospheric pressure
is another beneficial practice.
LOW DILUTE
FREQUENCY SiH4
RF
HIGH
FREQUENCY PURE
RF S iH4
N Source
RF Frequency
High 2200-600&
(>5 ~1Hz) 620b
770 e
J320 d
Low 2240 e
«1 MHz) 2330 f
JOOO e
a. Reference 213
b. Reference 217
c. Reference 232
d. Reference 225
e. Reference 185
f. Reference 202
the former case is due to the ion assisted nature of the heterogeneous
reaction. Its increase with increasing deposition temperature is mainly
due to increased density of the film. The optical absorption edge of the film
also moves to longer wavelengths with increasing Si/N compositional
ratio. 226 The refractive index of the film has been shown to be linearly
related to both its Si/N rati0 227 and its(Si-H)/(N-H) rati0 227 ,228(measured by
294 Semiconductor Materials
i I I I I I I
0
2.5
• SiH, -NH 3 -H 2
o SiH, -NH 3 -Ar /
n
2.4
/
t
23
2.2
/+
0&+
2.1 /
0. 0 •
2.0
. ... ~
.~
1.9 11:• .0
I
0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 1.S 1.6 1.7
--+Si/N
I I
/-
0
+ SiH 4 -N~-H2
2.5
o SiH 4 -NH 3 -Ar +
n
2.4
/
t 2.3
/
a
2.2 0
2.1 0
+/0
+ d'
2.0 04'
o;~
+
1.9 ..
;/
I
0 1 2 3 4 5 6 7 8 9 10 11
--. Si-H/N-H
2.40
0
2.30
><
w
C 0
z 2.20
w
b >
i=
u
~ 2.10
a:
u.
w
a:
2.00
0
1.90
1.80
0 1.0 2.0 3.0 4.0 5.0 6.0 7.0
Si-H/N-H BOND RATIO
Figure 58: Correlation of SiN x refractive index with (Si-H)/(N-H) hydrogen bond-
ing ratio in the film: (a) from Reference 227, deposition temperature 300°C;
(b) from Reference 228, deposition temperature 275°C (both reprinted by per-
mission of the publisher, The Electrochemical Society Inc.)
Plasma Processing 297
100 r---r--.,...-----,--......---,--..,..----r-----w
90 -
.SiH, -NH3 -N 2
R
(nm/min)80 •.+SiH, -NH 3-H 2
oSiH 4 -NH 3 -Ar
t 70 -
60
50
40
30
20
10 -
4'
... -..
•
-
fir •
- ...•-•
.•
~
• •
10
.
~LPCVD
""\
o
I
10 20 30 40 50 60
0/0 H
Figure 60: The etch rate of SiN x films in buffered HF as a function of their total
H content (from Reference 230, reprinted with permission of the American In-
stitute of Physics).
Refractive Index 1 ! 1 1 1
Etch Rate ! ! 1 ! !
H Content ! ! 1 - !
Stress, Tensile - ! 1 ! 1
20
10
400W
18
10
350W
E
u 16
....... 10 \
>
(Q
~
,
~300W
N 101 4
0
E
u
c: 10'2
>-
I-
>
I-
(J) -dO
U)
l~
a::
8
10
6
10
4
10
0.6 0.8 1.0 1.2 1.4 1.6 1.8 2.0
si/N
Figure 61: Resistivity vs. (Si/N) compositional ratio for PECVD SiN x (from
Reference 234, reprinted with permission of the American Institute of Physics).
300 Semiconductor Materials
(35)
which occurs between an H atom and a 6.405 MeV 15N+ ion. Emitted y rays
are counted. Depth profiling of the H content is achieved by scanning the
incident 15N ion energy upwards from 6.405 MeV. Lanford and Rand 236
used H content data obtained from this nuclear reaction analysis to
provide calibration of infra-red absorption band intensities of the Si-H and
N-H bonds. Adams 191 has summarized the wavenumbers and the calibra-
tion constants of the various Si-H, N-H, and O-H IR absorption bands, as
well as the wavenumbers of Si-O and Si-N bands, which can be observed in
the IR spectra of PECVD SiN x' and Si0 2 and a-Si(H) films. These values are
shown in Table 11. The calibration coefficient K, which is related to the
oscillator strength of the transition, is defined by:
NH = K J a(w)dw (36)
Table 11: The Position and Strength of the Various IR Absorption Bands
Observed in PECVD SiN x , Si0 2 , and a-Si(H)a
the low H content (rv 12 at 0/0) and the undetectability of any Si-H bonded
hydrogen. The origin of this effect is unclear, since in conventional PECVD,
a reduction in H content is achieved by increased ion bombardment(Table
10). It will be interesting to see the level of stress in these films.
A very interesting method of improving the thermal stability of PECVD
SiN x has recently been reported by Fujita et al. 237 On the basis that the H
content cannot be reduced below about 15 at % (Table 9), their approach
was not to minimize the H content, but instead to give it thermal stability by
increasing the H bond strength. This was achieved by simultaneously
incorporating fluorine into the SiN x film, by performing its PECVD from a
SiF4/N2/H2 source gas mixture. It has previously been reported that Si-H
bonds in fluorinated a-Si(H) have increased stabilitY,238 and so the same
effect was expected in SiN x' Thermal stability was indeed improved, in that
annealing at 640°C could be performed without loss of H. However, this
was not the result of an increased Si-H bond strength, since no Si-H bonds
were present in the fluorinated SiN x film, but rather an improved N-H bond
strength. Use of H 2 as a component source gas was necessary to permit
deposition to occur, otherwise etching of the deposited film by the atomic
F produced from the SiF 4 occurred. The function of this H 2 in suppressing
etch ing relative to deposition was attributed to a more rapid removal of the
product F atoms, due to both the reduced residence time and chemical
scavenging.
4.3.2 Silicon Oxide PECVD silicon oxides are a much narrowerclass
of materials than the nitrides, in that their composition is close to stoichio-
metric, with a typical range of SilO ratio from 0.50 to 0.55, as shown in Tabl.e
9, and much lower hydrogen content than the nitrides. On this basis, we will
refer to these materials as PECVD Si0 2 . Partly for these reasons, the
properties of PECVD Si02 show much less variability than those of PECVD
SiN x as shown by their comparison in Table 9. Thus it is an easier task to
deposit Si0 2 films with reproducible properties for use in semiconductor
processing, where they are finding increasing application. A number of
these applications are shown in Table 3. Other factors favoring the use of
PECVD Si0 2 are its low compressive stress, which is almost independent
of deposition conditions over a wide range, its high deposition rate at low
plasma power, its lower deposition temperature and its lack of susceptibility
to the effects of small amounts of air or water vapor contamination of the
deposition plasma. The main applications area in which Si0 2 does not
perform at least as well as SiN x is that at elevated temperature (>500°C)
where diffussion barrier properties are required. This includes applications
such as implant anneal caps on III-V semiconductors (where diffusion of
the more volatile group V element is to be prevented) and dopant diffusion
masks.
The usual source gases for Si0 2 deposition are SiH 4and N20,185,218,239,240
often with the SiH 4 diluted in an inert gas such as Ar. Other source gas
combinations which have been employed are SiCI 4/0 2,241 and in some
early work, Si(OC2HJ4/02.242 The most obvious combination of SiH 4 and 02
cannot be used, since these gases will react spontaneously on mixing (in
the absence of a plasma) to form Si0 2 "smoke" in the gas phase. N 20 is
chosen as the source of 0, since it dissociates readily in a plasma, although
302 Semiconductor Materials
rather less readily than does SiH 4. Because of this, N 2 0/SiH 4 flow ratios
appreciably in excess of 2 are needed to deposit Si0 2 ; the necessary
N20/SiH 4 flow rati0 239 is usually in excess of 15. However, N 20 is more
°
easily dissociated than CO 2 , another possible source, where CO 2/SiH 4
flow ratio of around 200 were needed. 240
Si0 2 is deposited at a higher rate and lower power than SiN x . Deposi-
tion rates employed are usually in the range 250-800A min- 1, although
rates in excess of 2,000A min- 1 can readily be achieved, with some loss
of spatial uniformity. Thus the deposition rate to plasma power density
ratio in a radial-flow reactor is very high, with values ranging from 3,000
A min- 1W- 1cm 2 (Reference 240) to 27,000A min- 1W- 1cm 2 (Reference 239).
These values may be compared with those in Table 8 for SiN x deposition.
Since plasma powers are very low and spatial uniformity of deposition is
sensitively dependent on plasma power (see Section 4.2.3), improved
sensitivity of power monitoring and control is beneficial. For deposition from
SiH 4/N 20/Ar plasmas, this may be achieved by monitoring the broadband
optical emission intensity from the plasma (see Section 4.2.3), which con-
sists almost entirely of near infra-red Ar emission. 243 If Ar is not included in
the plasma composition, the main emission is in the near ultraviolet from
N2 and is much weaker, and thus the technique's sensitivity for deposition
rate and uniformity control is much reduced.
Effects of PECVD parameters on Si0 2 film properties were studied by
Adams et al 239 for high frequency deposition, and by Hollahan 24o and van
de Ven 185 for low frequency deposition. In the work of Adams et aI., for Si0 2
deposited at a relatively low rate, many fil m properties were shown to have
a large discontinuous change at a temperature between 175 and 200°C.
Below that temperature, the films etch rapidly and non-uniformly, have
reduced density and refractive index, and have higher stress. Thus films
deposited below 200°C are not useful in processing applications (at least
forfilms deposited with the other deposition parameters similarto those in
Reference 239).
The refractive index of PECVD Si0 2 is mainly determined by the SilO
stoichiometry which is a function of the SiH 4/N 20 flow ratio. Above a
SiH 4/N 20 ratio of 15 to 20 (and for a deposition temperature of 200°C or
above), refractive index remains constant at 1.47. This value is very slightly
higher than that of CVD Si0 2 (1.44 - 1.46) or thermally grown Si0 2(1.464),
and is probably the result of a very slight oxygen deficiency «2%). This is
supported by the film density (rv 2.30 gm cm- 3) which is slightly higher than
that of fused Si0 2 (2.20 gm cm- 3). This small amount of ° deficiency
possibly results from some Si-H and Si-OH bonding existing in the film.
Lower temperature deposition, or high rate deposition at around 200°C,
produces a lower refractive index due to a reduced film density. There is
evidence that films deposited at low frequency have a somewhat higher
refractive index, in contrast to the situation for SiN x deposition. A value of
1.54 has been reported 185 for low frequency deposition in an outwards
radial-flow reactor at an N 20/SiH 4 flow ratio of 50. The reason for this
higher refractive index appears not to be excess Si, but instead some N
°
content in the film in place of content. A composition of Si01.9No.15 was
reported; 1U5 a few percent N content for similarly deposited films has also
Plasma Processing 303
reactants are buried by further depositing material, whereas with Si-H and
N-H reaction to completion does not occur. 185 A disadvantage of the high
°
reactivity of Si-H and is that under certain conditions (high rf power, high
pressure, low flow rate), homogeneous gas phase reaction can occur,
giving rise to particulate contamination and pinholes in the deposited film.
As is the case for SiN x deposition, increased substrate temperature,
increased rf power density and reduced plasma frequency all act to reduce
the H content. A typical range of values isasshown in Table9. The H maybe
present in three different bonding configurations, which are Si-H, Si-OH
and H 20. Their respective IR absorption bands are shown in Table 11. H 20
can be formed in the film during deposition by reaction between H atoms
produced on the surface from Si-H reaction with 0, and other arriving
atoms. Its formation and incorporation into the growing film is reduced by
°
increasing substrate temperature, but is not sensitively dependent on
other deposition parameters. 239 The Si-OH content is similarly dependent
on substrate temperature, and at low N20/SiH 4 flow ratios «20), in-
creases with the N20/SiH 4 flow ratio along with the increase in Si-O
bonding. As to be expected, the Si-H content decreases with increasing
N20/SiH 4 flow ratio, with increasing rf power, and with decreasing SiH 4
content in the discharge. Forfilms deposited at 200°C, the total H content
is about 5 atomic %, distributed approximately in the ratio 9:4: 1 as H 20:Si-
OH:Si-H. At a 250°C deposition temperature, this can be reduced to 2
atomic % or lower.
The etch rate of PECVD Si0 2 films in HF containing etchants does not
show any strong correlation with H content, or even with overall composition.
The main variation is a slight decrease with increasing deposition temper-
ature above 200°C. For deposition temperatures below 20QoC, films etch
rapidly and inhomogeneously.239 Etch rates are typically about an orderof
magn itude faster than those of thermally grown Si0 2, and a factor of 2 or 3
faster than those of sputtered Si02. This may be due to increased porosity,
although this idea is not supported by the density of PECVD Si02, which is
higher than that of thermal Si02 .239
Stress in PECVD Si0 2 also shows far less variability with deposition
conditions than does that in PECVD SiN x . In all cases for PECVD Si0 2 '
deposited on Si, GaAs or InP substrates, the Si0 2 is in the desirable state of
being under low compressive stress. The stress magnitude is increased to
values at the upper end of the range shown in Table 9 by deposition from
dilute SiH 4 in Ar, or by deposition at low frequency. Stress in Si0 2 films
deposited on Si are lower than in those deposited on GaAs or InP, due to
304 Semiconductor Materials
there being less of a thermal mismatch (which is quite large in all cases).
The linear coefficients of thermal expansion are 0.6, 3.2, 6.4 and 4.5 X
10-6°C-1 for Si0 2, Si, GaAs and In P respectively. Elastic stiffness coefficients
have not been reported for PECVD Si0 2, but the values for fused silica
should provide a reasonable upper limit close the the correct values. Thus
using a value of [E/(1 -u)]Si02 = 0.85 X 10-2 dynes cm- 2 in equation 34, the
thermally-induced components of stress in Si0 2 films deposited on Si,
GaAs and InP at 250°C are found to be 0.5,1.1 and 0.75 X 10 9 dynes cm- 2
compressive. While these values are not large on an absolute scale, they
can correspond to being the major component for the Si0 2 films of lowest
stress.
The combined properties of low compressive stress and good adhesion
permit very thick films of PECVD Si0 2 to be deposited without blistering or
cracking occurring. Thicknesses up to 5,um have been deposited. 185 Films
of 3,um thickness have been deposited on GaAs and then patterned by
anisotropic, low frequency plasma etching to leave 3,um wide by 3,um high
stripes of a few centimeters in length which have not lost adhesion to the
substrate. 14o These stripes have then been used as proton implant masks
in the fabrication of proton bombarded, gain-guided GaAs lasers. 244
PECVD Si0 2 fi Ims have consistently high resistivity(Table 9) relative to
SiN x due to less compositional variation and a higher band gap. Additionally
Si0 2 has a lower dielectric constant than SiN x (3.8 relative to ~7.0 at 1
MHz). Both these properties make PECVD Si0 2very attractive for application
as an interlayer dielectric.
The recently developed electron beam CVD (EBCVD) variation of
PECVD, discussed in Sec. 4.2.1 and in the preceding section for SiN x' was
first used to deposit Si0 2.205 Bulk film properties reported were similar to
those of good quality PECVD films deposited at the same temperature,
except for a high pinhole density. It is to be hoped that this is not intrinsic to
the technique, although gas phase reaction and particulate formation
cou Id be a problem with in the localized hig h intensity plasma, just as it is at
high plasma power densities in conventional PECVD of Si0 2.
In another recent advance, Kaganowicz et al. 245 have employed magne-
tron assisted PECVD to deposit Si0 2 at room temperature. The magnetic
confi nement of the plasma increases its density and increases the dissoci-
ation of N 20, so that stoichiometric films (n~ 1.46) could be deposited at an
N20/SiH 4 flow ratio of about three, an order of magnitude lower than that
needed without the magnetic enhancement. The much reduced total gas
flow, as well as the magnetic enhancement, permitted a much lower
deposition pressure (45 mtorr) to be used. At higher N 20/SiH 4 flow ratios,
gas phase reaction was again a problem, producing particulate incorporation
in the film. However, at N 20/SiH 4flow ratios offive or less, featureless films
were deposited. Non-uniformity of deposition rate and film properties is a
problem which will need to be overcome. Film characteristics were not
reported; it will be very interesting to compare them to those of conventional
PECVD Si0 2 films deposited at 200-250°C, as well as to those of films
deposited at lower temperatures.
4.3.2.1 Silicon oxynitride. So farwe have not mentioned intentionally-
Plasma Processing 305
present as N-H. For the case of SiH 4/D 2 mixtures, this effect was not
observed by Kuboi et al. 262
The degree of doping also has been reported to increase the hydrogen
content,263 but in addition to reduce the temperature at which the rate of
loss of hydrogen is a maximum. This indicates that the average bond
strength of the H has been reduced. Doping also has an effect on the
microstructure of the film, changing the distribution of the H bonding sites.
Hirose 264 has studied the optical emission spectra of SiH 4/H 2 plasmas
without and with magnetic confinement, and correlated the em itting species
to the infra-red spectrum of the deposited film. It was fou nd that a decrease
in the SiH emission intensity relative to that of H 2 corresponded to the
formation of partially microcrystalline films in which the doping efficiency
was extremely high, as discussed later in this section.
Microstructure of the a-Si(H) film appears to be the key property in
determining device-related properties such as doping level, carrier mobility
and photoconductivity. Knights and Lujan 265 fist showed that a-Si(H) films
were frequently not homogeneous random networks. Theyfound that high
power deposition at low temperature, in particular from Ar diluted SiH 4,
produced an island structure film with columns of typical a-Si(H) propagating
normal to the substrate but with only low density material between these
columns. Earlierwork266 had shown films deposited underthese conditions
to have high densities of non-radiative recombination centers (low photo-
luminescence yield). At a substrate temperature of 230°C, for which the
optically-active defect density was minimized for low power deposition
from pure SiH 4, there was no observable microstructure in the film down to
a resolution of 1OA. However, deposition at this temperature either at high
power or from very diute Si H 4 produced fi Ims of colu mnar morphology and
high optically-active defect density. Deposition under these conditions,
but with the addition of a negative substrate bias to give increased ion
bombardment of the growing film, eliminated the columnar morphology
and strongly reduced the defect density. It was postulated that the inter-
column regions were not voids, but were at least partially filled by a
crosslinked polysilane, (SiH 2)n' The origin of this columnar morphology
was identified not as a low density of nucleation centers in the initial
growth, but as imperfect coalescence of islands growing from each nuclea-
tion center.
Inhomogeneous films at the opposite end of the structural order
spectrum in the class of materials which still are described as hydrogenated
amorphous silicon are currently of great interest. These are films in which
there are microscopic quasi-crystalline ordered regions of low hydrogen
content embedded in a disordered, truly amorphous network containing
large amounts of hydrogen. The occurrence and growth of these quasi-
crystalline regions is promoted by high doping levels, increased substrate
temperature, increased plasma power density, magnetic confinement of
the plasma, and high hydrogen content in thesourcegas mixture. 258 ,264,267,268
As the quasi-crystalline content is increased, the percolation limit is
exceeded and a highly conductive film results. This is the reason for the
strong interest in such films, which are also referred to as microcrystalline.
308 Semiconductor Materials
625 Polycrlstalline
"-' 100A grain size
400 Polycrlstalline
"-' 500A grain size
450 Polycrvstalline
few hu·ndred A.
grain size.
600 Polycrystalline
a. Reference 280
b. Reference 279
c. Reference 278
d. Reference 330
e. Reference 281
f. Reference 331
g. Reference 283
h. Reference 282
j. Reference 332
310 Semiconductor Materials
1.2X 10 10 dynes cm- 2 were reported for the undoped and doped films
respectively. For these plasma conditions, PECVD does not offer any
process temperature reduction relative to low pressure CVD from a SiH 4
source. In fact temperatures 25-35°C higher were found necessaryforthe
onset of polycrystalline film formation.
Plasma-enhancement has been found to be very effective in reducing
the substrate temperature for epitaxial Si deposition to the 600-900°C
range, from the 1050-1200°C required by low pressure CVD. Pre-deposition
plasma cleaning and native oxide removal from the Si substrates was
found to be very important in permitting epitaxy at these lowtemperatures.
This was performed reactively in H 2 plasmas 281 ,282 or by inert gas sputter
etching. 283 Deposition of both epitaxial and polycrystalline Si films by
PECVD is relatively new, and there is much work yet to be carried out in
order to characterize these films. Reif 284 has recently reviewed the epitaxial
growth.
Germanium single crystals have been grown epitaxially on NaCI(1 00)
substrates by PECVD285 from GeH 4/H 2 mixtures at 450°C, about 150°C
lowerthan required by normal CVD. A high frequency, inductively coupled
plasma was employed. It was found that the plasma power had to be
restricted to the minimum level possible in the initial stage of deposition
until nucleation was complete. This was necessary to avoid plasma-
induced damage to the NaCI surface, and subsequent damage propagation
into the Ge film. After nucleation, the plasma power could be safely
increased to give a usefully high deposition rate of 1700Amin- 1. Electrical
properties of these epitaxial Ge films look very promising.
Hariu et a1. 286 ,287 deposited epitaxial GaAs films onto GaAs(1 00) and
Ge(1 00) substrates at temperatures above 350°C and 500°C, respectively.
In contrast to conventional PECVD in which one fu nction of the plasma is to
dissociate the reactant gas molecules, the Ga and As sources were
elemental, with their fluences to the substrate provided by thermal evap-
oration of elemental sources within th PECD chamber. An inductively
coupled plasma was maintained between the substrate and the evapo-
ration sources, in a low pressure (rv 20 mtorr) of Ar in the earlierwork286 and
H2 in the later work. 287 Thus the function of the plasma was to supply
energy to the growth surface to increase surface migration velocities and
hence permit epitaxy at these reduced temperatures. Alternatively, one
may regard the plasma as providing a locally enhanced surface temperature.
A second effect of the exposure of the substrate to the plasma was the
plasma etch oxide removal from the GaAs surface at the beginning of
growth; this effect was also beneficial in promoting the low temperature
epitaxy. Auger analysis showed the absence of interfacial oxide for the
plasma-enhanced growth but its presence for a deposition without plasma-
en hancement. In add ition, a uniform level of oxygen was detected th rough-
out the film but not in the plasma-enhanced grown material. Thus the
plasma exposure has the added beneficial effect of reducing contaminant
incorporation into the epitaxial growth. It should be noted that epitaxial
growth was promoted only within a limited range of plasma power; pre-
sumably at higher plasma power, competing damaging effects of the
plasma become dominant.
Plasma Processing 311
as various forms of carbon and carbides which are mainly used as anti-
wear and anti-corrosion surface coatings, are not considered here, but
have been reviewed in some detail by Ohja. 186 In addition, the growth of
surface native oxides, nitrides, and carbides, by exposure to oxygen,
nitrogen and carbon contain ing plasmas respectively, is not covered here.
These are also covered in the review of Ohja, 186 and the former, wh ich is of
great relevance in semiconductor processing, is covered in an earlier
chapter of this work. 296
In addition to the previously discussed nitride, oxide and oxynitride of
silicon, other oxides and nitrides are also of interest for microelectronics
applications. In particular, for processing of III-V semiconductors, the use
of non-silicon based dielectrics can be advantageous, since Si is an
amphoteric dopant in III-V compound semiconductors. This can be a
concern for high temperature processing applications, such as implant
annealing caps. An appropriate alternative is the use of oxides and nitrides
of the group III elements, from which unintentional doping of the III-V
semiconductor cannot occur.
The oxides and nitrides of group III elements which have been deposited
by PECVD include the oxide and nitrides of boron, aluminum and gallium. A
potential advantage of the use of the oxide or nitride of gallium or aluminum
is that it is feasible to deposit these materials in the same reactor as that
used for the MOCVD growth of the III-V material itself, to wh ich the vapor
phase supply of the group III element is already connected. Thus one can
deposit the group III oxide or nitride directly onto a freshly grown III-V
surface which has not been air exposed or etched in any way. This offers
the possibility to study a very clean dielectric/semiconductor interface
and to obtain interfaces with low densities of interface states, of great
interest for MIS applications.
It is for this last application, on InP, that Meiners 297 has studied
PECVD AI 20 3 and, in addition, PECVD AIPXO y The former was deposited
from trimethylaluminum, (CH3)3AI, and O 2. These reactants were only
mixed at low pressure within the deposition chamber above the heated
substrate. The plasma reactor was of the indirect variety, and only the O2
reactant was passed through the upstream plasma chamber. Substrate
temperatures in the range300-450°Cwere employed, and the film resistivity
was found to increase with deposition temperature. However, even for a
450°C deposition temperature, the resistivity of 1014 0 cm obtained was not
sufficiently high for meaningful capacitance-voltage studies from which
interface density of states could be obtained. This relatively low resistivity
does not appear to be a result of the PECVD technique. AIPXO y films were
deposited by the same indirect plasma technique, but with the addition of
pre-pyrolyzed PH 3 above the substrate. These films were of much improved
resistivity, with values in excess of 10 16 0 cm obtained for a deposition
temperature of 375°C. The deposited film composition with regard to the
AI/P ratio was AI rich relative to stoichiometric AIP0 4. These AIPXO y films
produced the best interface properties with InP yet reported, with interface
densities of states within the gap of 1X10 11 cm- 2eV-1. Low densities of
states for the InP interface with PECVD Si0 2 had previously been report-
ed,298,299 but these were rather irreproducible and very dependent of the
surface cleaning technique prior to dielectric deposition. 298
314 Semiconductor Materials
12
10 SPUTTER DEP.
'7o 10
- 8
,
C\J
E
u 6
en
:: L _ wr4== ... PECVD
o
.- 4
~ EXPT/UNDAMAGED InP (100)
2 CALC.
O~ ---' --'L-- ~
0.01 0.1 10
Figure 62: Surface structural damage produced in an InP (100) surface asa func·
tion of plasma power density as a result of Si0 2 deposition by PECVD at 13.56
MHz compared with deposition by rf diode sputtering from an Si0 2 target in an
Ar :0 2 atmosphere (from Reference 195).
REFERENCES
1. C.M. Melliar-Smith and C.J. Mogab, in Thin Processes, ed. J.L. Vossen and W.
Kern, Academic Press (New York, 1978), p.497.
2. C.J. Mogab, Inst. Phys. Cont. Ser. 53:37 (1980).
3. S. Gourrier and M. Bacal, Plasma Chem. Plasma Proc. 1:217 (1981).
4. D.L. Flamm and V.M. Donnelly, Plasma Chem. Plasma. Proc. 1:317 (1981).
5. J.W. Coburn, Plasma Chem. Plasma Proc. 2:1 (1982).
6. D.W. Hess, Plasma Chem. Plasma Proc. 2: 141 (1982).
7. D.L. Flamm, V.M. Donnelly, D.E. Ibbotson, J. Vac. Sci. Technol. B1 :23 (1983).
8. H.F. Winters, J.W. Coburn, and T.J. Chuang, J. Vac. Sci. Technol. B1 :469 (1983).
9. C.J. Mogab, in VLSI Technology, ed. S.M. Sze, McGraw-Hili (New York, 1983), p.
303.
1O. J.A Mucha and D.W. Hess, Microcircuit Processing: Lithographyand Dry Etching,
eds. L.F. Thompson and C.G. Willson (American Chemical Society).
11. R.A. Powell, Dry Etching for Microelectronics, North-Holland (Amsterdam, 1984).
12. D.L. Flamm, V.M. Donnelly, and D.E.lbbotson, in VLSI Electronics 8: ed. D.L. Brown
and N.E. Einspruch, Academic Press (New York, 1984), p. 189.
13. V.M. Donnelly, D.E. Ibbotson, and D.L. Flamm, in Ion Bombardment Modification
of surfaces, ed. O. Auciello and R. Kelly, Elsevier (Amsterdam, 1984), p.323.
14. A.J. van Roosmalen, Vacuum 34:429 (1984).
15. J.W. Coburn, Vacuum 34:157 (1984).
16. J.A. Mucha, Solid State Technol. 28:123 (1985).
17. F.F. Chen, Introduction to Plasma Physics, Plenum (New York, 1974).
18. G.P. Davis and R.A. Gottscho, J. Appl. Phys. 54:3080 (1983).
19. B. Chapman, Glow Discharge Processes, John Wiley and Sons(NewYork, 1980).
20. W.B. Pennebaker, IBM J. Res. Develop. 23:16 (1979).
21. R.A. Gottscho and M.L. Mandich, J. Vac. Sci. Technol. A3:617 (1985).
22. R.A. Gottscho, R.H. Burton, D.L. Flamm, V.M. Donnelly, and G.P. Davis, J. Appl.
Phys. 55:2707 (1984).
23. R.W. Gould, Phys. Lett. 11 :236 (1964).
24. H.R. Koenig and L.1. Maissel, IBM J. Res. Develop. 14:168 (1970).
25. J.H. Keller and W.B. Pennebaker, IBM J. Res. Develop. 23:3 (1979).
26. C.M. Horwitz, J. Vac. Sci. Technol. A1 :60 (1982).
27. C.B. Zarowin and R.S. Horwath, J. Electrochem. Soc. 129:2541 (1982).
28. C.B. Zarowin, J. Electrochem. Soc. 130:1144 (1983).
29. K. Kohler, J.W. Coburn, D.E. Horne, E. Kay, and J.H. Keller, J. Vac. Sci. Technol.
A3:638 (1985).
30. J.W. Coburn and M. Chen, J. Appl. Phys. 51 :3134 (1980).
31. R. d'Agostino, F. Cramarossa, S. DeBenedictis, and G. Ferraro, J. Appl. Phys.
52:1259 (1981).
Plasma Processing 321
116. R.A. Haring, A.W. Kolfschoten, andA.E. deVries, Nucl.lnstr. Meth. 2:544(1984).
117. R.A. Rossen and H.H. Sawin, Appl. Phys. Lett. 45:860 (1984).
118. R.A. Rossen and H.H. Sawin, J. Vac. Sci. Technol. A3:881 (1985).
119. D.J. Vitkavage, M.S. Ameen, and T.M. Mayer, J. Vac. Sci. Technol. A2:492 (1984).
120. E.L. Barish, D.J. Vitkavage, and T.M. Mayer, J. Appl. Phys. 57:1336 (1985).
121. S.C. McNevin and G.E. 8ecker, J. Vac. Sci. Technol. B3:485 (1985).
122. S.C. McNevin and G.E. 8ecker, J. Vac. Sci. Technol. 84:1203 (1986).
123. T. Mizutani, C.J. Dale, W.K. Chu, and T.M. Mayer, Nuc.lnst. Method B (in press).
124. P. Sigmund, in Inelastic lon-Surface Collisions, ed. N.H. Tolk, J.C. Tully, W. Heiland,
and C.W. White, Academic Press (London, 1977), p. 121.
125. D. Edelson and D.L. Flamm, J. Appl. Phys. 56:1522 (1984).
126. M.J. Kushner, J. Appl. Phys. 53:2923 (1982).
127. M.J. Kushner, J. Appl. Phys. 54:4958 (1983).
128. M.J. Kushner, H.M. Anderson, P.J. Hargis, Mater. Res. Soc. Symp. Proc. 38:201
(1985).
129. M.T. Mocella, M.W. Jenkins, H.H. Sawin, and K.D. Allen, Mat. Res. Soc. Symp.
Proc. 38:227 (1985).
130. R.L. Maddox, Microelectron. J. 11:4 (1980).
131. A.A. Milgram,J. Vac. Sci. Technol., 81:490(1983).
132. P.D. Townsend, J.C. Kelly and N.E.W. Hartly, Ion Implantation, Sputtering and
Their Applications, Academic, New York, 1976.
133. L.1. Maissel and R. Giang, Handbook of Thin Film Technology, McGraw-Hili, New
York (1970).
134. A.R. Reinberg, Extended Abstracts Electrochem. Soc. Spring Meeting, San
Francisco, CA, May 12-17, 1974.
135. L.M. Ephrath, IEEE Trans. on Elec. Dev., 28:1315 (1981).
136. D. Maydan U.S. Patent, 4,298,443, Nov. 3, 1981.
137. Y.W. H u,' Electrochem. Soc. Meeting, 84-2:abs. 392 (1984).
138. 8.J. Curtis, Sol. State Technol., 23: 129 (1980).
139. P.A. Heimann and R.J. Schutz, J. Electrochem. Soc., 131 :881 (1984).
140. V.M. Donnelly, D.L. Flamm, W.C. Dautremont-Smith, and D.J. Werder,J. Appl. Phys.
55:242-52 (1984).
141. C.J. Mogab and H.J. Levinstein, J. Vac. Sci. Technol., 17:721 (1980).
142. R.W. Light, J. Electrochem. Soc., 130:2225 (1983).
143. S.E. Bernacki and 8.8. Kosicki, J. Electrochem. Soc., 131 :1926 (1984).
144. E.L. Hu and R.E. Howard, J. Vac. Sci. Technol. 82,85 (1984). .
145. J.D. Chinn, I. Adesida and E.D. Wolf, J. Vac. Sci. Technol. 81:1028 (1983).
146. J.M. Moran and D. Maydan, Bell Sys. Tech. J., 58:1027 (1979).
147. D.L. Flamm, Sol. State Technol., 22:109 (1979).
148. L.M. Ephrath, J. Electrochem. Soc., 126:1419 (1979).
149. R.A.H. Heinecke, Sol. State Electron., 18:1146 (1975).
150. S. Matsuo, J. Vac. Sci. Technol. 17:587 (1980).
151. L.M. Ephrath and D.J. DiMaria, Sol. State Technol. 24:182 (1981).
152. R.A. Gdula, IEEE Trans. on Elec. De v., 26:644 (1979).
153. E.H. Nicollian and J.R. Brews, MOS Physics and Technology, John Wiley& Sons,
New York (1982).
154. R.A. Gdula and P.C. Li, J. Electrochem. Soc., 124:1927 (1977).
155. J.R. 8rews, W. Fichtner, E.H. Nicollian and S.M. Sze, IEEE Electron Devices Lett.
EDL-1:2 (1980).
156. S.P. Murarka, J. Vac. Sci. Technol., 17:775 (1980).
157. A.C. Adams and C.D. Capio, J. Electrochem. Soc., 128:366 (1981).
158. K Hirata, Y. Ozaki, M. Odaand M. Kimizuka, IEEE Trans. on Electron Dev., 28:1323
(1981 ).
159. G.C. Schwartz and P.M. Schaible, J. Electrochem. Soc., 130:1898 (1983).
324 Semiconductor Materials
160. R.W. Light and H.B. Bell, J. Electrochem. Soc., 131 :459 (1984).
161. F.Y. Robb, Electrochem. Soc. meeting, 84-2:abs. 355 (1984).
162. T.P. Chow and G.M. Fanelli, Electrochem. Soc. meeting, 84-2, abs. 406 (1984).
163. K.M. Cham and S.-Y. Chiang, IEEE Electron Dev. Lett., EDL-4:303 (1983).
164. T. Morie, K Minegishi and S. Nakajima, IEEE Electron Dev. Lett., EDL-4:411
(1983).
165. J.A. Bondur and H.B. Pogge, U.S. patent 4,104,086, Aug. 1, 1978.
166. J.A. Bondur and H.A. Clark, Solid State Technol., 23:122 (1980).
167. T.M. Mayer, J. Electron. Mat., 9:513 (1980).
168. D.N.K. Wang, D. Maydan and H.J. Levinstein, Solid State Tech., 23: 122 (1980).
169. B. Chapman and M. Nowak, Semi. Inter., 3: 139 (1980).
170. K Hirobe, Y. Kureishi and T. Tsuchimoto, J. Electrochem. Soc., 128:2686 (1981).
171. D.W. Hess, Solid State Technol., 24: 189 (1981).
172. M. Oda and K. Hirata, Jap. J. of Appl. Phys., 19:L405 (1980).
173. R.A.H. Heinecke, Sol. State Electron., 19:1039 (1976).
174. Y. Kawamoto, T. Kure, N. Hashimoto and T. Takaichi, Electrochem. Soc. Meeting,
84-2:abs. 395 (1984).
175. V.M. Donnelly, D.L. Flamm, and D.E.lbbotson,J. Vac. Sci. Technol. A2:626(1983).
176. R. H. Burton, R.A Gottscho, G. Smolinsky, Dry Etching for Microelectronics, edited
by R.A. Powell, Elsevier (New York, 1984).
177. R.P.H. Chang, C.C. Chang and S. Darack, J. Vac. Sci. Technol., 20:45 (1982).
178. R.C. Weast, Handbook of Chemistry and Physics, CRC Press, Boca Raton, Fla.
(1984).
179. R.P.H. Chang and S. Darack, Appl. Phys. Lett. 38:898 (1981).
180. G. Smolinsky, R.P.H. Chang, T.M Mayer, J. Vac. Sci. Technol. 18:12 (1981).
181. V.M. Donnelly, D.L. Flamm, C.W. Tu, and D.E. Ibbotson, J. Electrochem. Soc.
129:2533 (1982).
182. Hollahan, J.R. and Rosier, R.S., in: Thin Film Processes (J.L. Vossen and W. Kern,
eds.), pp. 335-360, Academic Press, New York (1978).
183. M.J. Rand, J. Vac. Sci. Technol. 16:420-7 (1979).
184. A.R. Reinberg, Ann. Rev. Mater. Sci. 9:341 (1979).
185. E. P.G.T. van de Ven, Solid State Technology, Vol. 24, no. 4, 167-71 (1981).
186. S.M. Ojha, Physics of Thin Films 12:237-96 (1982).
187. T.D. Bonifield, in: Deposition Technologies for Films and Coatings (R.F. Bunshah,
ed.), pp. 365-384, Noyes, Park Ridge, NJ (1982).
188. J.A. Thornton, in: Deposition Technologies for Films and Coatings (R. F. Bu nshah,
ed.), pp. 19-62, Noyes, Park Ridge, NJ (1982).
189. J.A. Thornton, Thin Solid Films 107:3-19 (1983).
190. A.C. Adams, in VLSI Technology(S.Sze, ed.), pp. 93-129, McGraw Hill, New York
(1983).
191. A.C. Adams, Solid State Technology, Vol. 26, No.4, 135-9 (April 1983).
192. D.W. Hess, J. Vac. Sci. Technol. A2:244-52 (1984).
193. R. Reif, J. Vac. Sci. Technol. A2:429-35 (1984).
194. A. Sherman, Thin Solid Films 113:135-149 (1984).
195. W.C. Dautremont-Smith, and L.C. Feldman, Thin Solid Films 105:187 (1983).
196. W.C. Dautremont-Smith and L.C. Feldman, J. Vac. Sci. Technol. A:April-May
(1985).
197. J.W. Peters, Tech. Dig. IEEE Int. Electron Dev. Meeting, 240(1981).
198. P.K Boyer, G.A. Roche, W.H. Ritchie, and G.J. Collins, Appl. Phys. Lett. 40:716
(1982).
199. S.D. Allen, J. Appl. Phys. 52:6501-5 (1981).
200. E. Ong, and E.L. Hu, Solid State Technology, Vol. 27, No.6, 155-60 (June 1984).
201. A.R. Reinberg, U.S. Patent 3,757,733; Sept. 11,1973; assigned to Texas Instru-
ments.
Plasma Processing 325
202. R.S. Rosier, W.C. Benzing, and J. Baldo, Solid State Technology, Vol. 19, No.6,
45-50 (June 1976).
203. R.S. Rosier, and G.M. Engle, Solid State Technology, Vol. 24, No.4, 172-7 (April
1981 ).
204. A.D. Weiss, Semiconductor International, July 1983, pp. 88-94.
205. L.R. Thompson, J.J. Rocca, K. Emery, P.K. Boyer, and G.J. Collins, Appl. Phys.
Lett. 43:777-779 (1983).
206. D.C. Bishop, K.A. Emery, J.J. Rocca, L.R. Thompson, H. Zarnani, and G.J. Collins,
Appl. Phys. Lett. 44:598-600 (1984).
207. J.F. O'Hanlon, A User's Guide to Vacuum Technology, Wiley, New York, 1980,
pp.321-7.
208. J.F. O'Hanlon, Pumping Hazardous Gases, AVS Monograph, AVS, New York,
1981.
209. A.K. Sinha, and E. Lugujjo, Appl. Phys. Lett. 32:245 (1978).
210. P.S. Peercy and H.J. Stein, in: Proc. Symp. on Silicon Nitride Thin Insulating Films,
Vol. 83-8, The Electrochemical Society, Pennington, NJ (1983).
211. F.L. Schuermeyer, in: Proc. Symp. on Silicon Nitride Thin Insulating Films, Vol.
83-8, The Electrochemical Society, Pennington, NJ (1983).
212. K.C. Vanner, J.R. Cockrill, and R.S. Butlin, The Solid Films 80:161 (1981).
213. A.K. Sinha, H.J. Levinstein, T.E. Smith, G. Quintana, and S.E. Haszko,J. Electro-
chem. Soc. 125:601 (1978).
214. A.K. Sinha, Solid State Technology, Vol. 23, No.4, 133 (1980).
215. KM. Mar, and G.M. Samuelson, Solid State Technology Vol. 23, No.4, 137 (1980).
216. H.E. Maes, G. Heyns, M. Hinoul, H. Loos, A. Van Calster, and K Allaert, in: Proc.
Symp. on Silicon Nitride Thin Insulating Films, Vol. 83-8, The Electrochemical
Society, Pennington, NJ (1983).
217. V.S. Nguyen in: Proc. Symp. on Silicon Nitride Thin Insulating Films, Vol. 83-8,
The Electrochemical Society, Pennington, NJ (1983).
218. H.F. Sterling, and R.C.G. Swann, Solid State Electronics 8:653 (1965).
219. Y. Kuwano, Jpn. J. Appl. Phys. 7:88 (1968).
220. Y. Kuwano, Jpn. J. Appl. Phys. 8:876 (1969).
221. H. Dun, P. Pan, F.R. White, and R.W. Douse,J. Electrochem. Soc. 128:1555(1981).
222. M.J. Helix, KV. Vaidyanathan, B.G. Streetman, H.B. Dietrich, P.K Chatterjee, Thin
Solid Films 55:143 (1978).
223. R. Gereth, and W. Scherber, J. Electrochem. Soc. 119: 1248 (1972).
224. G.J. Valco and V.J. Kapoor, in Proc. Symp. on Silicon Nitride Thin Insulating Films,
Vol. 83-8, The Electrochemical Society (Pennington, NJ, 1983).
225. W.C. Dautremont-Smith, "PECVD of SiN x", unpublished (1982).
226. M.J. Rand, and D.R. Wonsidler, J. Electrochem. Soc. 125:99 (1978).
227. W.A.P. Claassen, W.G.J.N. Valkenburg, F.H.P.M. Habraken, and Y. Tamminga,
J. Electrochem. Soc. 130:2419 (1983).
228. G.M. Samuelson, and K.M. Mar, J. Electrochem. Soc. 129:1773 (1982).
229. H.E. Maes, J. Remmerie, M. Hinoul, R. Van den Berghe, and R. Vlaeminck, in: Proc.
Symp. on Silicon Nitride Thin Insulating Films, Vol. 83-8, The Electrochemical
Society, Pennington, NJ (1983).
230. Chow, R., Lanford, W.A., Ke-Ming, W., and Rosier, R.S., J. Appl. Phys. 53:5630
(1982).
231. K. Koyama, K. Takasaki, M. Maeda, and M. Takagi, paper presented at the Electro»
chemical Society Fall Meeting, Denver, CO, Oct. 1981.
232. F. Martinet, G. Guegan, and J.-C. Jesion ka, in:Proc. Symp. on Silicon Nitride Thin
Insulating Films, Vol. 83-8, The Electrochemical Society, Pennington, NJ (1983).
233. T.F. Retajczyk, and A.K. Sinha, Thin Solid Films 70:241 (1980).
234. A.K. Sinha and T.E. Smith, J. Appl. Phys. 45:2756 (1978).
235. C.D. Fung, T.E. Nagy, and W.H. Ko, in: Proc. Symp. on Silicon Nitride Thin Insulating
326 Semiconductor Materials
269. H. Okamoto, Y. Nitta, T. Yamaguchi, and Y. Hamakawa, Solar Energy Mat. 2:313
(1980).
270. T. Hamasaki, H. Kurata, M. Hirose, and Y. Osaka, Appl. Phys. Lett. 37:1084 (1980).
271. A. Madan, S.R. Ovshinsky, and E. Benn, Philos. Mag. B40:259 (1979).
272. H. Matsumura, and S. Furukawa, in:Amorphous Semiconductor Technologies
and Devices (Y. Hamakawa, ed.), OH M and North Holland, Tokyo and Amsterdam,
1982.
273. Y. Kuwano, M. Ohnishi, H. Nishiwaki, S. Tsuda, and H. Shibuya, Jpn. J. Appl. Phys.
20:supplement 20-2, 157 (1981).
274. Y. Kuwano, in Reference 256.
275. Y. Hamakawa, in Reference 256.
276. H. Haruki and Y. Uchida, in Reference 256.
277. J.E. Greene, CRC Crit. Rev. Solid State and Mat. Sci., Vol. 11,189-227 (1984).
278. F. Morin and M. Morel, Appl. Phys. Lett.: 35, 686 (1979).
279. Y. Nagata, and A. Kunioka, Appl. Phys. Lett. 38: 142 (1981).
280. T.1. Kamins, and K.L. Chiang, J. Electrochem. Soc. 129:2326 (1982), and 2331
(1982).
281. W.G. Townsend, and M.E. Uddin, Solid State Electron., 16:39 (1973).
282. S.R. Shanfield, and R. Reif, Abs. 144, The Electrochemical Society Extended
Abstracts, Vol. 83-1 , San Francisco, CA, May 1983.
283. T.J. Donahue, W.R. Burger, and R. Reif, Appl. Phys. Lett. 44:346 (1984).
284. R. Reif, J. Electrochem. Soc. 131 :2430 (1984).
285. R.A. Outlaw and P. Hopson, Jr., J. Appl. Phys. 55:1461 (1984).
286. T. Hariu, K Takenaka, S. Shibuya, Y. Komatsu, and Y. Shibata, Thin Solid Films
80:235 (1981).
287. T. Hariu, Y. Matsushita, Y. Komatsu, S. Shibuya, S. Igarishi, and Y. Shibata, Inst.
Phys. Cont. Ser. No. 65, Chap. 2, p. 141; paper presented at Int. Symp. GaAs
and Related Compounds, Albuquerque, NM, 1982.
288. Y. Sato, K Matsushita, T. Hariu, and Y. Shibata, Appl. Phys. Lett. 44:592 (1984).
289. KP. Pande, Abstract No. 340, Extended Abstracts, Vol. 83-1, The Electrochemical
Society Spring Meeting, San Francisco, CA, May 8-13, 1983.
290. T. Ito, Denshi Zairyo (Electronic Parts and Materials), 22:31 (1983) (in \.Japanese).
291. C.C. Tang, J. K Chu, and D.W. Hess, Solid State Technology, p. 125 (Mar. 1983).
292. J.K. Chu, C.C. Tang, and D.W. Hess, Appl. Phys. Lett. 41 :74(1982).
293. F. Okyama, Appl. Phys. A28: 125 (1982).
294. A. Tabuchi, S. Inoue, M. Maeda, and M. Takagi, Jpn. Semicond. Technol. News,
p. 43 (Feb. 1983).
295. K. Akimoto, and K. Watanabe, Appl. Phys. Lett. 39:445 (1981).
296. B.E. Deal, chapter X in this work (1985).
297. L.G. Meiners, Thin Solid Films 113:85 (1984).
298. L.G. Meiners, J. Vac. Sci. Technol. 19:373 (1981).
299. J. Woodward, D.C. Cameron, L.D.lrving, and G.R. Jones, Thin Solid Films 85:61
(1981 ).
300. H. Katto, and Y. Koga, J. Electrochem. Soc. 118:1619 (1971).
301. J. Bauer, L. Biste, and D. Bolze, Phys. Status Solidi (a) 39:173 (1977).
302. R.P.H. Chang, C.C. Chang, S. Darack, and M.H. Read, unpublished (1980).
303. K. Matsushita, Y. Matsuno, T. Hariu, and Y. Shibata, Thin Solid Films 80:243
(1981 ).
304. N.J. Archer, Thin Solid Films 80:221 (1981).
305. S. Veprek, and J. Roos, J. Phys. Chem. Solids, 37:554 (1976).
306. S. Veprek, Z. Iqbal, J. Bunner, and M. Scharli, Philos. Mag. B43:527 (1981).
307. M. Millard, in: Techniques and Applications ot Plasma Chemistry(J.R. Hollahan
and A.T. Bell, eds.), Wiley, New York, 1974; Chapter 5.
308. H. Yasuda, in: Thin Film Processes (J.L. Vossen and W. Kern, eds.), Academic
328 Semiconductor Materials
John A. Thornton
Department of Materials Science and Coordinated Science Laboratory
University of Illinois
Urbana, Illinois
1. INTRODUCTION
329
330 Semiconductor Materials
SORPTION
GATE VALVE ROUGHING GATE VALVE
TRAP
TRAP DIFFUSION OR
TURBOMOLECULAR
PUMP
CRYOPUMP MECHANICAL
o / \ PUMP
TITANIUM "1J
LN 2 CRYOPUMP :J'"
SUBLIMATION '<
::i...,
UPUMP MECHANICAL
PUMP
SPUTTER
ION PUMP
~
(J)
a"
c <
Q)
"0
b CRYOGENIC PUMPED SYSTEM o..,
o
a GETTER PUMPED SYSTEM CD
"0
DIFFUSION OR TURBOMOLECULAR
o(J)
PUMPED SYSTEM ;::::+
0"
::J
Figure 1: Schematic drawings showing vacuum pumping systems of the various types used in deposition technology: (a) dif- c.v
fusion or turbomolecular pump configuration, (b) getter pump configuration, and (c) cryogenic pump configuration. c.v
~
332 Semiconductor Materials
discussed in References 1-4. Our concern here is with the "vacuum state"
that is achieved and its implications on the deposition process.
Consider a cubic vacuum system with sides 1m in length. The volume
is 1m3 . The internal surface area is 6 m2 . An ideal metal surface contains
about 2x1 0 15 adsorption sites per cm 2 . When the chamber is exposed to
the atmosphere, an even larger density of molecu les wi II become attached
onto the walls because of surface irregularities and multilayer adsorption.
The number of molecules per cubic centimeter in a room temperature gas
is about
n = 3.3x1 0 16 p particles/cm 3 (1 )
where p is the pressure in Torr. Thus when pumping is initiated we have the
task of removing (760 Torr)(3.3x1 0 16 molecules/cm 3 - Torr)(1 0 6 cm 3 ) = 2.5x
10 25 molecules from the volume and at least(2x1 0 15 molecules/cm 2)(6x1 0 4
cm 2) = 1.2x1 0 20 molecules from the walls.
Now consider a cham ber fi lied to an initial pressu re Po with an ideal gas,
which has no interactions with the walls other than reflections. When such
a chamber is evacuated by a pump of constant volumetric efficiency, the
pressure will decrease with time according to the equation.
where Q(t) is the total outgassing rate from the surfaces within the chamber
at thetime t. The outgassing rate, Q(t), and therefore the chamber pressure,
decrease as a function of time, because internal diffusion and surface
desorption deplete the reservoirs of stored gas entrapped on the chamber
internal surfaces.
The dwell time of an atom or molecule on a surface under vacuum will
depend on the binding energy between the molecule and the surface, and
on the surface temperature. See Equation 13 in Section 6.1. Physisorbed
gases with binding energies of the order of 0.1 to 0.5 eV desorb quickly
Physical Vapor Deposition 333
where A is the chamber surface area. The time to is a reference point where
q = qo. For approximate calculations to can be taken as the point at which
the high vacuum valve is opened.
Consider the case of our 1m3 chamber after three hours of pumping.
Assume that the chamber is constructed of stainless steel and that the
high vacuum pump has a speed of 600 liters/sec. The specific outgassing
rate for stainless steel after 180 min. of pumping is seen in Figure 2 to be
about 4x1 0- 8 Torr-liters/sec-cm 2. From Equation 3 we estimate the chamber
pressure to be (4 X 10-8 Torr-liters/sec-cm 2)(6 X 10 4 cm 2)(600/liters/sec)
or 4 X 10-6 Torr.
In practical deposition systems unwanted gases are a result of desorp-
tion from the deposition sources, substrates, and hot filaments as well as
the chamber walls. Back-streaming gases from the pumps also contribute
contamination species. After prolonged pumping, the residual gases are
typically H 20, CO, CO 2, 02 and N 2.1 For a residual gas pressure p (given in
Torr), the impingement rate on a substrate surface is
t n
10-4 " , ,
q qo(f)
=
"
..... ,t~~PF1€
N- "fl,j~f4
E ',1):::::0
u l"A ' .... :!OJ
I
u(lJ~ ............
10-5
u
OJ
0.$$) ' ............
~
' .........
..
Vl
!.-
......
OJ
! .-
!.- ,
10-6 "", ,
0
t-
LJ..J ,
t-
«
~
, , " "~IIV,
~>.
0:: ,, ,~~&
c...:> ,, ""~&~
Z
10-7 , ,0- ,~~<
(/) ' ','J~
t
(/) ,~
« ',-</)-; "q~~
',V)
c...:> ,~o
t-
::::> " U')-; ", , ,
0 ' "<6
~~
U 10-8 ,~~
" ", ,
LL..
u ':0,,
LJ..J
a.. ,,
(/) ,,
10-9
,,
,
~
COATING fMT
FLUX
p ..... •
RESIDUAL
GAS PRESSURE
/
\
SOURCE
~
"0
o~
IMPURITY LEVEL
TOTAL OUTGASSING FLUX . Kp/ JMT oCD
TOTAL COATING FLUX IMPURITY·LEVEL '- TOTAL COATING FLUX
"0
oen
a b
;::;:
o·
::l
Figure 3: Schematic illustration showing influence of apparatus geometry on the way in which wall outgassing affects the coating c..v
impurity level.
c..v
01
336 Semiconductor Materials
3. EVAPORATION
3.1 Introduction
In the evaporation process, vapors of the coating material are released
from a source because of heating. The source material may be in the liquid
or solid state, depending on its vapor pressure relative to its melting point.
Almost any conceivable method can be used to heat the sou rce. One of the
most common methods is resistive heating, either of the source material
itself or of a support containing the material. Other common heating
methods involve the use of an electron beam, a laser beam, or an arc
discharge to produce local surface heating of the source material.
The evaporation process is usually carried out at a sufficiently low
pressure (typically 10-5 to 10-6 Torr) so that the evaporated atoms undergo
an essentially collisionless "Iine-of-sight" transport to the substrates. In
this connection it is useful to remember that the mean free path of gas
particles is about equal to
X= 5/Pm' cm (6)
where Pm is the pressure in m Torr. Thus at a pressure of 10-4 Torr, Xis of the
order of 50 cm and about equal to the size of a typical vacuum chamber. A
second reason for using a low pressure is to avoid oxidation of the hot
source material and the condensing coating. The substrates are generally
unbiased, Le., electrically isolated or at ground potential.
The advantages of evaporation include the possibilities of high deposi-
tion rates and the fact that the source material can be in a relatively simple
form. Evaporation is most effective for depositing low melting point materials.
Although evaporation can be used for refractory materials, the high tempera-
tures make the process more difficult to execute. Accordingly, it is estimated
that about 90% of the commercial applications of evaporation involve the
deposition of aluminum. Difficulties of stoichiometry control are encoun-
tered in evaporating many alloys and compounds. It is for this reason that
sputtering is replacing evaporation for many microcircuit metallization
Physical Vapor Deposition 337
Wd = -
W-Ae Cos'+' CosO
'I-' (8)
rrr2
338 Semiconductor Materials
10 .....- . . - -.....-,..,..-".-....,.............~-.......,..................~~-.....-.,-...,......
@ MELTING POINT
where r is the distance from the source to the substrate. The CosO term
accounts for the fact that the substrate may not be perpendicular to the
line of centers connecting the source and substrate. The thickness growth
rate of the film is given by
(9)
Figure 5: Flux passing from small area source to elemental substrate area dAs
which inscribes solid angle dw.
prices to be discarded after one use if necessary. The wire or foil supports
must be fabricated from materials which have negligible vapor ordissocia-
tion pressures at the operating temperatures. These temperatures are
typically in the range from 1000 to 2000°C. Wetting of the wire or foil
surface by the evaporant is also desirable in orderto achieve good thermal
contact. Detailed recommendations pertaining to wire or foil support
materials for various evaporants are given in References 8 and 9. These
recommendations for a few evaporants are summarized in Tables 1 and 2.
The most commonly used support materials are tungsten, molybdenum,
and tantalu m. Su itable wire or foil sources are available to evaporate small
charges of nearly all the elements except the refractory metals themselves.
The maximum capacity of wire and foil sources is typically a few grams.
The usual approach is to calculate the charge of source material that will
provide a given deposit thickness, using a relationship of the form of
Equation 8 for the apparatus geometry in question, and then to evaporate
the entire charge. The wire/foil approach is in general too time-consuming
for most production applications, but it is an effective method, for example,
for depositing test electrodes in laboratory studies.
3.3.2 Crucible Sources. Crucible sources are required to support
molten metals in quantities of a few grams or more. Since the melt is in
contact with the container for prolonged periods of time, the selection of a
noncontaminating and thermally stable crucible material is very important.
Detailed recommendations for crucible materials are given in References
8 and 9. The non-metallic support materials summerized in Tables 1 and 2
apply strictly to crucibles. Thus it is seen that graphite and the refractory
w
~
(\)
METAL FOIL SOURCE RESISTANCE HEATED CRUCIBLE
RESISTANCE HEATED WIRE
(j)
CD
~\gDSJ 3
f).
o
a ~
Q.
C
b c
(')
r-+
o
~
~
Q)
r-+
SUBLIMATION SOURCE CD
~
05"
.+,.~. RF HEATED CRUCIBLE (jf
,'I.
,I' ,,
\ III,
,,\'"" CHROMIUM
ROD
RADIATION
SHIELDS HEATER
MOLTEN METAL
d e
Figure 6: Schematic illustrations showing several types of evaporation sources.
Physical Vapor Deposition 343
with flow orifices that are restricted but not necessarily small enough to
satisfy the free molecular flow conditions that are implicit in the particular
case of the Knudsen cell. The flow from effusion cells can be theoretically
predicted if the fluid dynamics of the flow through the orifice is properly
taken into account. However, as a general rule the emission characteristics
as a function of temperature from effusion cells, including Knudsen cells,
are determined experimentally. Figure 7 shows an array of effusion cell
sources used for multi-source deposition of semiconducting coatings of
CulnSe 2 . 11 Effusion cells playa very important role in the process of
molecular beam epitaxy, which is discussed in Section 4.
3.3.6 Electron Beam Sources. Figure 8 shows a schematic diagram
of an electron beam evaporation system. Since the beam is concentrated
on the evaporating surface, while other portions of the evaporant are
maintained at lower temperatures, the evaporant can form its own crucible.
Hence, interactions between evaporant and support materials are greatly
reduced. Electron energies are typically in the 3 to 10 KW range, with
power levels in the range 2 to50 KW. Therefore, relatively high evaporation
rates can be achieved, even forthe refractory metals. Rod-fed sources can
provide a large inventory of coating material. Therefore, electron beam
sources are the most commonly used evaporation sources for large scale
production applications. However, two difficulties that must be dealt with
are, first, that electron beam sources are vulnerable to spitting because of
QUARTZ CRYSTAL
I HEATER I MONITOR
SUBSTRATE
VACUUM -----
CHAMBER
SHIELD
SOURCE
HEATER
COMPUTER
ASSEMBLY
CONTROL
PUMPING
THERMOCOUPLES PORT
SUBSTRATES
MOLTEN
,,\\t
\ \\\\I'
\ \ \,\\
f I, :! ~
..:.'
MAGNETICALLY FOCUSED
ELECTRON BEAM
POOL
\
ii
EVAPORANT
SUPPORT ELECTRON
BEAM SOURCE
SOURCE MATERIAL
TO VACUUM (MA Y BE ROD WITH
PUMPS AUTOMATIC FEED)
the high power densities at the point of beam impact, and second, that the
deposition flux is nonuniform as discussed in Section 3.4.
3.3.7 Other Types of Evaporation Sources. Several other types of
evaporation sources have been developed to deal with the particular
problems associated with forming stoichiometric coatings of alloys and
compounds. These are discussed in Section 3.5.
SUBSTRATES
near-u niform deposits over relatively large areas. In particu lar, the deposit
thickness is uniform over an area about equal to the area inside the ring
when the substrate is placed above the ring at a distance equal to its
radius. This is also an important consideration when using ring type planar
magnetron sputtering sources. See Section 5.6.
Theoretical and experimental deposition profile data are also given in
References 8 and 9 for several practical evaporation sources. The degree
to which wire baskets of the type shown in Figure 6a duplicate a point
source depends on the density of the wire winding. A dense winding
promotes directional emission from the open ends. 8 Flat metal strips or
shallow dimpled boats of the type shown in Figure 6b have been found to
yield near cosine-law emission. The emission patterns from practical
effusion cells of the type shown in Figure 7 tend to be more directional, and
to have a more pointed maximum in the center than the cosine emission
pattern, because the requirement of negligible aperture thickness is
usually not satisfied. The emission patterns from crucibles with relatively
wide openings, and cylindrical cone shaped side walls which can act as
extended emitting surfaces, tend to follow the cosine emission law, although
the deposition profiles are slightly more directional than those predicted
for cosine emission from a flat surface source. Electron beam sources tend
to behave as small area sou rces, but often depart from the cosine em ission
law because the hig h evaporation rates, wh ich are typically ach ieved, yield
high enough vapor densities in the immediate vicinity of the source to
cause collisional scattering of the evaporated molecules.
by direct evaporation. This has led to the use of special methods such as
flash, two-source, and reactive evaporation. These processes are discussed
in Section 3.6.
- 3.5.2 Evaporation of Alloys. The constituents in alloys evaporate
independently of one another, mostly as single atoms. However, the vapor
pressures of the individual constituents are not equal to their pure metal
values at the temperature in question, because there is a contribution to
the chemical potential when one metal is dissolved in another. Most
metals evaporate incongruently, and this has led to the use of sputtering
where extreme composition control is necessary. An example is the
deposition of Nichrome (Bo%Ni - 20 %Cr) to form thin film resistors. How-
ever, in the important case of Permalloy(BS%Ni - 1S% Fe), the evaporation
is sufficiently congruent to permit the use of simple single source evapora-
tion for many applications. 8
Electron beam evaporation can significantly expand the range of
materials which can be evaporated with reasonable composition control. 10
This is possible because the electron beam source creates a small molten
region, as shown in Figure 10. During an incubation period the molten
region becomes deficient in the volatile species. The composition is then
rate-limited by the passage of material by diffusion from the solid into the
melt, across interface "A" in the figure. If the vapor pressure difference is
not too large for the constituent diffusion rates, a steady state is developed,
where the composition of the melt is just such as to produce a vapor
composition equal to that of the solid. It is reported that reproducible
compositions of Ni-20Cr, Ti-6AI, Ag-SCu, Ag-10Cu, Ag-20Cu, Ag-30Cu,
and Ni-xCr-yAI-zY have been successfully achieved by electron beam
evaporation. 1o
3.5.3 Evaporation of Compounds. In the evaporation of compounds
the transition to the vapor phase rarely occurs without changes to the
molecular species. Thus evaporation is usually accompanied by molecular
dissociation, association, or a combination of both processes. Dissociation
represents thermal decomposition and generally makes simple direct
evaporation impractical. The species formed in the direct evaporation of a
number of compounds are summarized in Table 2.
EVAPORATED
FLUX
MOLTEN -_-.L;:;::'
REGION
RATE LIMITING
FLUX
and compounds. In most cases, the vapors impinging on the substrate are
highly supersaturated, so that the film composition is not affected by the
condensation coefficients. (Condensation coefficients are discussed in
Section 6.1.) The most common problem is incomplete evaporation due to
particle ejection and deflection. Ni-Cr alloys, Cr/SiO cermets, GaAs, InP,
Cu 2 S, and BaTi0 3 are examples of materials that have been deposited by
flash evaporation.
3.6.2 Hot-Wall Evaporation. In this techniquefilms are grown under
conditions that are close to thermodynamic equilibrium.15-16a A schematic
drawing of a hot wall evaporation apparatus is shown in Figure 11. The
evaporated flux is passed into an enclosure with walls held at a sufficiently
high temperature so that condensation is precluded. Accordingly, stoichio-
metric coatings can be deposited, even on substrates maintained at such
high temperatures that one or more of the constituents has a low condensa-
tion coefficient. Since wall condensation is proh ibited, the vapor pressures
of the volatile constituents simply build up until they deposit onto the
substrates at steady state rates that are equal to the rates at which they
enter the enclosure from the evaporation sources. For example, near-
stoichiometric CdTe films have been evaporated from a single CdTe
source maintained at 600°C, with a hot-wall temperature of 500°C, and a
SUBSTRATE
TEMPERATURE - T s
HOT WALL
T>T s
- - - - VACUUM
CHAMBER
EVAPORATION
SOURCES
PUMP
GAS
INLET
VACUUM - . -
CHAMBER HEATER
WALL T>T,
~t:::::;:~~~=:;:::~ __-I---I- SUBSTRATES
NO CONDENSATION
SUBLIMATION
HEATER
PUMPING
PORT
VACUUM
CHAMBER
SUBSTRATES QUARTZ CRYSTAL
\ MONITOR (Se)
I HEATER'
I/i\\ ::::::::{\:~:: H:ti}:}:{
SENTINEL
SENSOR SELENIUM
(In &Cu) CRUCIBLES
INDIUM
BOAT
WATER COOLED
SHIELD PLATE
COPPER PUMPING
BOAT PORT
:E
..J
iJ..
2.0 '1 1
/
. . _1-
/
"
••
.1 ........
~ 1.5 /
/
Q /
ro- I·
cd:
/
.--
CI:
z 1.0 /
0
u ./
::; •
@ I·
zw 0.5 I
t=)
>- /(
X /
0 /
I I
0
10. 1 100 10 1 102 103
02/Si IMPINGEMENT RATIO
I SUBSTRATES(S)
ELECTRODE
POWER
SUPPLY
ELECTRON BEAM
EVAPORATOR
VACUUM
CHAf,'SER
VACUUM
PUMPS
4.1 Introduction
Molecu lar beam epitxy(M BE) is a mu Iti-sou rce evaporation process, of
the type discussed in Section 3.6, which is done with extreme control over
the deposition parameters in order to exploit the kinetic processes of film
growth that are discussed in Section 6.1. MBE has been applied primarily
to the growth of single crystal films of compound semiconductors. Thermal
molecular beams of each constituent of the film are directed to converge
on a si ng Ie crystal substrate under cond itions su itable for epitaxial growth.
Deposition rates are low (typically about 0.1 nm/sec). The low deposition
rates reduce the temperature required to achieve epitaxial growth (Figure
47 in Section 6.2). The low growth rates are made feasible by the use of
ultra-high vacuum systems which have base pressures in the 10- 10 to 10- 11
Torr range and thereby reduce the residual gas contamination flux incident
on the substrates, as discussed in Section 2.
The slow growth rates permit very precise control of layerthicknesses
in the nm range. Shields are used to provide abrupt initiation or cessation
of the molecular beam fluxes and thereby to create sharp interfaces or
356 Semiconductor Materials
AUGER
ANALYZER
QUADRUPOLE MASS
SPECTROM ETE R
VIEW PORT
FLUORESCENT
ELECTRON SCREEN
GUN
LIQUID
NITROGEN
SHROUD
EFFUSION CELLS
Figure 16: Schematic illustration of MBE deposition chamber with sources con-
figured for depositing GaAs type films.
Physical Vapor Deposition 357
¥ QMA
SHUTTER
\
SUBSTRATE~
TRANSPORT
CONTROL
VERTICAL ~
CA~~m~GE~~ «( L- E-BEAM
EVAPORATOR
VACUUM \ ~ FLANGE
(OPTIONALj
""'"
Ti SUBLIMATION
PUMP AND CRYOPANEL
Figure 17: Commercial MBE deposition system (PHI Model 425). Drawing cour-
tesy of Physical Electronics Division of Perkin-Elmer, Eden Prairie, MN.
five freshly prepared substrates are inserted into the sample entry chamber
and evacuated. 34 Loadlock systems of this type have been designed which
can operate for more than 100 hrs without exposure of the growth environ-
ment to atmosphere. Such systems have wafer processing rates of 3 to 4
wafers per hour. Typical systems can provide epitaxial films with thickness
uniformity of better than 5% over substrates 2 inches in diameter, with
extremely uniform epitaxial layers over about 15 cm 2 of each wafer. 34 New
systems can handle 3 inch wafers, with a general trend to greater produc-
tion capabilities.
The substrates in Figure 16 are shown mounted on a carrousel. Such
carrousels incorporate substrate heaters and thermocouples such that
temperatures up to about 700°C can be maintained within about 0.2°C.26 A
precision manipulator permits the substrates to be accurately positioned
for deposition and HEED analysis.
*-
o
c
o
'en
,:::=
o
en
C,)
c
~
+-'
C,)
(1)
UJ
co
C,)
'en
>
...c:
0..
*-
o
>
en
Q)
+-'
!.-
~
oC,)
o
+-'
o
...c:
0..
J:
0..
(/)
~
+-'
co
!.-
co
0-
0-
co
c
o
'';;
'en
o
0-
(1)
"C
UJ '
coZ
~~
*- (1)~
o :~
...c: co
g-et
a,~
0"C
bUJ
.r::. ~
0.. ~
ooE
~t.y
Q) C
a- , -
::S~
C')!.-
u:~
360 Semiconductor Materials
Group IV
ions appears to permit them to penetrate into the lattice of the growing
coating to a sufficient degree so that the incorporation probability is
increased.
The complexity of the doping problem is illustrated by the GaAs
technology. Commonly used dopants are Sn, Si, and Ge for n-type and Be
for p-type GaAs.27,28 Tin, which is the most commonly used n-type dopant,
illustrates the complexities that can occur. Surface segregation causes
the Sn to accumulate on the surface in a concentration which is several
orders of magnitude largerthan that in the bulk. The rate of incorporation is
controlled by the Sn surface concentration and the Ga vacancyconcentra-
tion within the GaAs.27 The Sn segregated on the surface precludes the
formation of abrupt changes in doping concentration, since its concentra-
tion cannot be reduced to zero by simply closing the shutter at the Sn
source. Anothersource of complexity occurs because many of the dopants
are amphoteric. For example, under As-rich conditions Ge tends to be
incorporated as a donor, while under Ga-stabilized conditions (see Section
6.3) Ge is incorporated predominantly as an acceptor. 27 Th~se examples
illustrate how the dopant incorporation is dependent on relative substrate
arrival rates of As and Ge atoms as well as the doping flux itself.
The difficulties in forming p-type GaAs are even more severe. The
conventional acceptor dopants for GaAs such as Zn and Cd have high
vapor pressures and therefore low incorporation coefficients. Beryllium
has shown the most promising p-type doping properties, but is an extreme
toxicity hazard. 27 The ion beam technique has been successfully used to
incorporate Zn+ and provide carrier concentrations in the 1019cm-3 range. 28,37
Manganese has been used but causes adverse su rface deg radation. 27 Ion
beam deposition has also been proven effective in Si MBE.40,41
4.5 Applications
M BE is particu larly effective when control over thickness, composition,
and doping profiles are critical to device performance. Such requirements
are often encountered in microwave and optoelectronics devices. These
needs have stimulated the development of MBE technology in general
and GaAs technology in particular. MBE has been used not only to produce
state-of-the-art performance in conventional structures, but also to produce
totally new types of thin film devices. Table 5 lists some devices which
contain epitaxial structures grown by MBE.
GaAs field effect transistors are typically used as low-noise microwave
signal detectors and microwave signal generators. Both low noise and
high-powerfield-effecttransistors require n-type layers less than 1000 nm
thick. Low-noise FET's have been reported using 100 nm thick, heavily
doped, MBE GaAs layers. 27 The linearity of power FET's can be improved
by tailoring the doping profile, a requirement that can be achieved by M BE.
Microwave varactors, mixer diodes, and 1M PATT diodes are otherexamples
of devices in which controlled doping profiles are required and therefore
where MBE is useful. 27
The formation of low-resistance contacts to n- and p-type GaAs, as well
as Schottky barrier diodes on GaAs, is also of great technological importance
Physical Vapor Deposition 363
Other devices
Diodes
MIS capacitors
Superlattices
Tunnel triodes
Solar cells
cavity. The band energies of the active layers are such that the charge
carriers injected under forward bias are trapped in the active region. In
recent work, lasers with unique performance have been fabricated by
making the active layers have the form of quantum well superlattices
consisting of alternate layers of materials with different bandgaps and with
layer thicknesses less than the Debye length. Thus, in one example, fourteen
GaAs quantum-well active layers, only rv14 nm thick, were sandwiched
between Alo.27Gao.73As confinement layers rv13 nm thick. 34 ,36 Injection
MOW laser diodes are of great importance in fiber optics communication
systems, because laser operation can be tailored to emit at frequencies
well above the standard lasing frequencies of the host material, and
thereby to control the losses in the fiber optics. The deposition of superlattice
structures with properties not found in homogeneous materials is an
active area of current research which can be expected to yield a host of
applications in the future. 42 ,43
5. SPUTTERING
5.1 Introduction
Sputtering is a process whereby material is dislodged and ejected
from the surface of a solid or a liquid due to the momentum exchange
associated with surface bombardment by energetic particles. A source of
coating material called the target is placed into a vacuum chamber along
with the substrates, and the chamber is evacuated to a pressure typically in
the range 5x1 0- 4to 5x1 0- 7Torr. The bombardi ng species are generally ions
of a heavy inert gas. Argon is most commonly used. The sputtered material
is ejected primarily in atomic form. The substrates are positioned in front of
the target so that they intercept the flux of sputtered atoms.
The most common method of providing the ion bombardment is to
backfill the evacuated chamber with a working gas in the 1 to 100 mTorr
pressure range and to ignite an electric discharge with the target serving
as the cathode or negative electrode. Such an apparatus configuration is
shown schematically in Figure 19. Applied potentials are typically between
500 and 5000V. Direct currents are generally used when the target
material is a good electrical conductor. Radio frequencies are used when
the target material is poorly conducting or an insulator. Deposits of poorly
conducting metallic compounds can also be formed by dc sputtering the
metallic component while injecting other constituents in the gas phase.
This is known as reactive sputtering. A voltage bias may be applied to the
substrates so that they are at a negative potential relative to the plasma
and therefore subject to an ion bombardment that can influence coating
properties. This is known as bias sputtering.
The most striking characteristic of the sputtering process is its uni-
versality. Since the coating material is passed into the vapor phase by a
mechanical (momentum exchange) rather than a chemical or thermal
process, virtually any material is a candidate coating. Films containing
almost every element in the periodic table have been prepared by sputter-
Physical Vapor Deposition 365
CATHODE
WORKING
GAS FEED
ION FLUX
POWER
SPUTTERED
SUPPLY
FLUX
PLASMA
Ii +
::
" ANODE
~~,
SUBSTRATES ~':'~:':':~':':'~:':':~':':'~:':':~':':'~:':':~':':'~:':'::: VACUUM
CHAMBER
INCIDfNT
ION
@V j INCIDfNT
SPUTTERED ION
I
M._Mt)
I""'V~::: _ I-
( Mj +M
V·
I
ATOM
~
J SPUTTERED
~ LOW ENERGY
OOOO~ KNOCK-ON
r
LATTI CE
PRIMARY
KNOCK -ON
ATOMS
a b
Figure 20: Schematic diagram showing some of the momentum exchange proc-
esses that occur during sputtering; V i is the ion velocity, V t is the target atom
velocity, and the prime denotes velocities after the collision. From Reference 59.
Physical Vapor Deposition 367
will have an energy of85 eV.lf M j > M t , a 180 reflection of the bombarding 0
4 ~ MoINe
",
TaQ../'"
",UW
.",
0 ......
...c: " "Gd
,,-
~
Q,)
,('
Q.
Rh // \
u
'E
o
q,/ METAL FILMS
5 0.4 ZroO~o SPUTTERED
en / / 0 D. MolAr IN Ar
~
Co:)
/ Nb
o I
w
I
~
~ I
a: /
~ 0.1 I
w
I
CjSS
I
0.04 I
. I
T'OQCr
I ~ Mo/Kr
,
,,
VO
0.01 ~ ~~ ~ ....
o 2 3 4 5
MASS RATIO (Mt/M g )
Figure 21: Entrapped work ing gas in metal coatings deposited at low pressures
using cylindrical-post magnetron sputtering sources. 77 Circular data points refer
to metals sputtered in Ar. Triangular data points from study in which Mo was
sputtered in Ne, Ar and Kr .78
Physical Vapor Deposition 369
: : yrapt
20 - -----2~~~~ ~.zri~2Rh -- ~ ----- _.L
. \\,.NI ~ I Au
__----
Ti~ . . . . . eGe: .Pd: I
AI"Sii V-';
·Cu I . I :
o.. . .~e/
. : Co :
I
A9:
I
:
I
o 20 40 60 80 100
ATOM IC NUMBER
Figure 22: Average energies of sputtered atoms produced by 1.2 KeV krypton
ion bombardment. The line corresponds to a velocity of 6 Km/s. Data from Ref-
erence 53.
Physical Vapor Deposition 371
(10)
where K is a constant in the range from 0.1 to 0.3, M j and M t are the masses
of the incident ion and target atom respectively, E is the energy of the
incident ion, and Uo is the binding energy of the target atom (usually taken
4.0 r---~-~-~----,.--.,--.-~-_-_
ARGON
Ag
3.5
3.0
r::
o Cu
.:::
E
o 2.5 Pb
~
o
~ 2.0
>:
"
z
ffi 1.5
Ni
~
~
::>
0..
(I) 1.0
0.5
Physical Vapor Deposition 373
SfC8~;
I
I
I
I
I
I
I
I
8
max 7T/2
ANGLf OF INCIDfNCf (8)
Figure 24: Schematic diagram showing variation of sputtering yield with ion
angle of incidence. Ion energy is constant. From Reference 59.
Physical Vapor Deposition 375
I
I
: : : : : : : SILVE R
50 - - - - ~I - - - - "t"I - - - - -+ - - - -
I
~ - - - - + - - - - -+ - - - - ~ - - - -
, t I I
- - - - +I - - --
I I I I I I I I
I I I I I I I I
: Ar: I : ~ j :
o L...-....&C.....a::ll.......Slojl:::~T~j"----'---~Z~r----L--"'---~---------
o 10 20 30 40 50 60 70 80 90
ION ATOMI C NUMBER
Figure 25: Sputtering yields for various ions impacting at normal incidence on
silver, copper, and tantalum surfaces at high energies (45 keV). Data from Ref-
erence 108.
figure does illustrate the trends. It is seen that the noble gas ions give the
highest yields. Of particular interest is the fact that yields vary much more
with ion species, a factor of 100 or more, than they do with atom species, a
factor of 10. 53 This occurs because the bombarding ions form alloys or
compounds with lower sputtering yields on the surface of the target. Note
that the yields are particu larly low for active species such as Be, C, Mg, Si.
Ti, and Zr. The formation of target surface compounds with reduced
sputtering yields is commonly encountered in reactive sputtering. See
Section 5.9.
It was mentioned in Section 5.2 that inert working gasspecies become
entrapped in the target. The amount of gas entrapped in a target can be
large enough to influence the sputtering yield,84 although this is not a
problem in most practical applications. The mechanism of release is still
unresolved. 109 Measured equilibrium argon densities in tungsten imply
that if the release is by argon sputtering, then the inert gas yield is an order
of magnitude larger than that of the host tungsten lattice. 83
An im portant consideration in reactive sputtering and sputter clean ing
is the sputter desorption of reactive gas species that have become chemi-
sorbed on the surface of a target or substrate. Calculations and measure-
ments indicate that such species are sputtered as atoms with yields that
are of the same order of magnitude or higher than those of elemental
materials. 58 See Section 5.2.
Sputtering apparatuses are generally calibrated to determine the
deposition rate under given operating conditions. However, yield data of
the type described above are often used in projecting rate changes when
changing coating materials and in estimating the amount of material
removed during sputter cleaning and bias sputtering. The erosion rate is
given by
376 Semiconductor Materials
potential drop across the dark space, but instead a much larger number of
ions and atoms having energies that are often less than 10% of the
potential difference across the cathode dark space. 140 This redistribution
of energy can actually prove to be an advantage. Because of the nonlinear
dependence of the sputtering yield on the bombarding particle energy
(see Figure 23), ten 300 eV particles can, for example, produce more
sputtered species than one 3000 eV particle.
Gas scattering of the sputtered particles has several important conse-
quences. At the pressures used for dc planar diode sputtering, the transport
of sputtered species from the target to the substrates is largely by dif-
fusion. 141 The deposition rate is therefore reduced because a significant
fraction of the sputtered particles diffuse back to the target or to the
chamber walls. It is estimated that about 10% of the sputtered material
reaches the substrates in a well designed planar diode. 142 Because of the
diffusion nature of the transport, surfaces that are adjacent to a substrate,
but do not shield it optically from the target, can still rob it of coating flux.
The complexity of this diffusion transport, along with the charge exchange
processes in the cathode sheath, makes it necessary to determ ine deposi-
tion rates experimentally for each set of operating conditions. Another
important consequence of the collision-dominated transport of the sputtered
atoms is that their initial high energies of ejection (see Section 5.3) are
reduced to near thermal values by the time they reach the substrates
under typical planar diode dc sputtering conditions. 55 ,143,144,145,146
The fact that substrates in a planar diode are in contactwith the plasma
means that they are subjected to bombardment by ions and electrons from
the plasma. 132 The energies and relative fluxes will depend on the potential
of the substrates relative to the plasma potential. The substrates are also
subject to bombardment by the energetic primary electrons, 147 particularly
at the lower operating pressures, and to electromagnetic radiation from
the plasma. The plasma bombardment can be beneficial to the structural
properties of the coatings. See Section 6.4. However, bombardment by
energetic species can cause damage to semiconductor devices. See
Section 7.0.
As noted above, planar diode systems can be operated at lower pressures
when rf power is used. However, the general behavior differs from that
described above only in degree. Thus the charge exchange and gas
scattering of the sputtered flux will be less, while the substrate bombard-
ment by primary electrons will be greater.
Substrate heating rates are relatively high in planar diodes. Typical
rates are in the range from 100 to 300 eV/atom deposited. 148,149 The major
sources of heating are bombardment by the primary electrons and species
from the plasma. Uncooled substrates typically reach temperatures in the
300 to 500°C range.
Planar diodes were the most commonly used sputtering apparatus for
many years, but are being replaced by magnetrons for most metal deposition
applications. The present applications of planar diodes are primarily for rf
sputtering of various poorly conducting compounds. They are also used for
magnetic materials because of the limitations of magnetrons for this
application.
Physical Vapor Deposition 381
DEPOSIT
VACUUM CHAMBER
CLEAN (PRESSURE - 5 MILLITORR)
TARGET
ANODE
TUNGSTEN
FILAMENT
TARGET FILAMENT
POWER SUPPLY POWER SUPPLY
0-2 kV DC AUXILIARY 0-10 VAC
SUBSTRATE TARGET
~--_+_--_--+_o
+ - - - QO+----r-------
-
PLASMA POWER
SUPPLYO-50 VDC
+----~
A
A
ELECTRICALL Y
FLOATING SHIELD
A B c D
c
A
""U
::::r
'<
(j)
A
a·
~
<
m
"0
A
o
o""'"
F G CD
E H "0
o
(j)
Figure 27: Schematic illustrations of various types of magnetron sputtering sources. In each illustration A is anode, 8 is mag- ;:::+:
netic field direction, C is cathode. (A) cylindrical-post magnetron with electrostatic end confinement,t53 (8) cylindrical-post 0"
:::J
55
magnetron with magnetic end confinement,t54 (C) rectangular post magnetron,154 (D) ring discharge post magnetron/ (E)
56 53 57
spiral discharge magnetron/ (F) cylindrical hollow magnetron/ (G) ring discharge hollow magnetron/ (H) planar mag- c..u
netron,t58 (I) "gun type" magnetron. 159 00
c..u
384 Semiconductor Materials
PLANAR DIODE
DIRECT CURRENT
6000 Ar PRESSURE = 6.5 Pa RECTANGULAR TYPE
ALUMINUM TARGET / PLANAR MAGNETRON
t'i\ RF SINGLE ENDED
/~
Ar PRESSURE = 0.13 Pa
,@
\ @ AI 20 TARGET
3000 @ 3
tIP/'
/
/~~/O)
Vl
-0
~
w l::1/
~,
"
c:t
I-
.....J
@
/"
K
o 1000
>
w
planar and gun type magentrons are particularly suitable for retrofitting
existing vacuum chambers. Cylindrical-post and rectangular planar mag-
netrons have the advantage that they can be scaled to long lengths to
facilitate large deposition areas. 151 ,153,160
Cylindrical-post magnetrons are most commonly used for batch pro-
cessing, with the substrates arranged surrounding the source as shown in
Figure 29. For example, this configuration is used in the manufacture of
chromium photomask blanks for patterning semiconductor devices. The
current density is uniform over the cathode of a properly designed cylindrical
magnetron of the type shown in Figure 27 A. Typical values are about 20
mA/cm 2 which yield cathode erosion rates of about 20 nm/sec for metal
targets. See Equation 11. Total discharge currents are generally in the
range 1 to 50A. Operating pressures are typically about 1mTorr (0.13 Pa)
with discharge voltages in the 800V range. Because of the low pressures
the sputtered atoms undergo a near-collisionless, line-of-sight transport
to the sUbstrates. 146 Accordingly, deposition flux profiles at various radial
substrate positions can be predicted (a cosine emission is assumed).153 At
a typical substrate radial position equal to half the cathode length, a
thickness uniformity of about 10% can be achieved over an axial length
that is about half the cathode length. Thus a production coating machine
Physical Vapor Deposition 385
CYLINDRICAL MAGNETRON
SPUTTERING SOURCE
SUBSTRATES /
VACUUM
I
CHAMBER
UNIFORM MAGNETICALLY CIRCULATING
CONFINED PLASMA SHEET EX B ELECTRON
CURRENT
Figure 29: Typical arrangement of su bstrates for batch processing with acyl in-
drical-post magnetron sputtering source.
Figure 30: Typical batch type sputtering apparatus which mounts three pla-
nar magnetron sputtering sources. (Photo courtesy of CHA Industries, Menlo
Park, CA.)
Physical Vapor Deposition 387
PLANAR MAGNETRON
RECTANGULAR (127 mm x 380 mml
~
E. 3
UJ
r-
<t:
0:
Z
o
~
en 2-
oQ.
UJ
o
Figure 31: Deposition rate profile for rectangular type planar magnetron sputter-
ing source on the long axis (A-A) at various distances from the cathode surface.
SUBSTRATES
\
r- - - - - - - - t/;t}.j\\\8\:;t??r------J.kS\t"ft:3fE,(j- - - - -----.,
,
I
,
I
,
------ \
\
I
\I
---J,------
I
-------1---
t
f
\
\
,,
,
\ I
~------- --------~
DEPOSITION
PROFILE
Figure 32: Schematic illustration showing the use of an aperture to improve the
axial uniformity of the deposition flux which reaches the substrates from a pla-
nar magnetron sputtering source.
388 Semiconductor Materials
CASSETTE
BIN
J VACUUM BAKE
MODULE
ETCH SPUTTER
CASSETTE
BIN
MODULE MODULE
, .
'1J
::T
'<
en
SUBSTRATE
o·
TO VACUUM ~
HEATER COUNTER PLANAR MAGNETRON PUMPS SUBSTRATE
ELECTRODES SPUTTERING SOURCES WAFERS ~
"'0
o
o""""
CD
"'0
o
en
;::::+:
o·
::J
Figure 33: Schematic illustration of in-line sputtering system configured for depositing multilayer metallization onto semicon- c.v
ductor wafers. ex>
<0
VJ
<0
o
en
CD
3
o·
o
::J
a.
c
()
.-+
o
~
~
~
.-+
CD
~
55·
ar
Figure 34: Cassette-to-eassette in-I ine sputtering system designed for semiconductor wafer processing. (Photo courtesy of Ma-
terials Research Corporation, Orangeburg, NY.)
Physical Vapor Deposition 391
Typical substrate heating fluxes vary from 15 to 25 eV/atom for Iig ht metals
to over 50 eV/atom for heavy metals with moderate sputtering yields. See
Table 7. Substrates in radiation equilibrium with the heating flux are
projected to reach temperatures in the 100-200°C range at a typical
deposition rate of 1.7 nm/sec. 151
Substrates in planar magnetrons are generally placed close to the
sputtering sources and therefore may be subject to modest plasma bom-
bardment. Energetic electrons moving along magnetic field lines may
bombard the substrates if these field lines intersect the substrate
plane. 153,154 Substrates positioned in front of planar magnetrons are sub-
jected to less bombardment by energetic reflected working gas atoms,
because the most energetic atoms(those reflected at angles considerably
less than 180°) pass to the side and miss the substrates. 154 Accordingly,
planar magnetron substrate heating rates typically have a greater contri-
bution from the plasma and a lesser contribution from the reflected
atoms. 164 However, typical planar magnetron heating rates for sputtered
metals are comparable to those for cylindrical magnetrons. See Table 7.
Magnetrons have become the primary sputtering method for depositing
metallic coatings. Magnetron sources can be used to sputter magnetic
materials. However, when a sputtering target composed of a magnetic
material is used, it must be saturated magnetically so that its magnetic
behavior is suppressed and a field of the desired shape can be maintained
over its surface. 153 Thus, as a general rule, the allowable magnetron target
thicknesses are limited for magnetic materials. Reference 165 describes
several magnetron configurations specifically designed for sputtering
magnetic materials.
Magnetron sources driven by rf power are also becoming widely used
for depositing poorly conducting and insulating materials. However, the
magnetron is essentially a dc concept, and its performance as an rf
sputtering source is limited compared to its behavior as a dc source. 154
See Section 5.8.
SUBSTRATE
FILAMENT SCREEN
CATHODE GRID
ION
BEAM
------ -----
+ NEUTRALIZER
ANODE
Figure 35: Schematic drawing of ion beam deposition system with discharge
chamber having axial magnetic field.
Physical Vapor Deposition 393
tween the thermionic cathode and the anode. Electrons emitted from the
cathode must cross the axial-magnetic field to reach the anode. As in the
magnetron case, the magnetic field strength is made large enough so that
the electron cyclotron radius is small compared to the distance from the
cathode to the anode. Thus the electrons suffer many collisions with the
working gas atoms, and hig h ionization rates can be ach ieved. Axial losses
of electrons are minimized by maintaining the screen and chamberwalls at
cathode potential. Consequently, ion (electron) densities in the 10 10 cm- 3
range can be maintained at low, ~1 mTorr, working pressures in the
chamber. Anode-cathode voltages are typically about 40V. The plasma
potential is typically a few volts above the anode potential. The anode is
maintained above the ground potential of the vacuum coating chamber by
an amount about equal to the desired ion energy. Typical values are +500
to 1000V. The accelerator grid is maintained at a potential that is about
1OOV negative relative to the ground potential. The accelerator grid controls
beam divergence and provides a negative potential barrierwh ich prevents
the passage of electrons from the beam plasma backwards into the
positive discharge plasma. A thermionically emitting hot filament supplies
electrons to neutralize the positively charged beam of ions.
The ions are extracted from the plasma by the electric field which is
produced because of the potential difference between the screen and
accelerator grids. The acceleratorgrids are typically fabricated from graphite,
with grid hole diameters of about 2 mm. Screen-to-accelerator grid spacings
are typically 1 to 2 mm. Typical beam current densities are 1 to 2 mA/cm 2 ,
with 500 eV ion energies and beam sizes of 5 to 10 em.
A large number of other apparatus config urations have been developed
to improve certain aspects of the performance. 167 In the mu Itipole magnetic
field configuration, the walls of the discharge chamber are covered with
anodes located behind localized magnetic fields produced by permanent
magnets. The bulk of the discharge chamber is field free, so that the ion
production and density are relatively uniform throughout the chamber.
Multipole devices are effective for producing large diameter beams, 15 to
30 em, with relatively uniform ion current densities. In the single grid
configuration the screen grid is omitted and the acceleration distance in
this case is simply the thickness of the plasma sheath. Current densities of
about 1 mA/cm 2 can be achieved in such systems at ion energies as low as
20 eV.
Ion beam sputtering systems cannot, in general, compete with magne-
trons as large scale production sources. However, the control that they
provide makes them very attractive for research studies and for special
applications. Ion beam sources are also used for providing controlled ion
bombardment during coating growth. In this case, an ion beam sputtering
or evaporation source provides a source of coating flux.168-170 The secondary
ion beam is arranged to bombard the growing coating in orderto modify its
properties as discussed in Section 6.4. In another method, called primary
ion beam deposition, an ion beam of the depositing material itself is
directed at the substrate. This technique provides a high degree of control
over the depositing film and has been used to produce unusual film
properties such as diamond-like carbon, 171 and to implement semiconductor
394 Semiconductor Materials
5.8 RF Sputtering
Direct current methods cannot be used to sputter nonconducting
targets because of charge accumulation on the target surface. 57 This
difficulty can be overcome by using radio frequency(rf) sputtering. Asingle
rf sputtering apparatus can be used to deposit conducting, semiconduct;'lg,
and insulating coatings. Consequently, rf sputtering has found wide appli-
cation in the electronics industry. Examples of nonconducting and semi-
conducting materials which have been deposited by rf sputtering are given
in Table 8.
Many of the phenomena which occur in glow discharge plasmas are a
consequence of the large difference in mass between the electrons and ions.
Thus, in the absence of strong magnetic fields, the electron flux from a
plasma to a surface will tend to be significantly higher than the ion flux
because of the larger thermal velocity of the electrons. Consequently, an
insulating surface will accumulate a negative charge which causes it to
float at a potential that is negative with respect to the plasma.57-132 The
variation in potential between the conducting plasma and the floating
surface will occur in a sheath region adjacent to the surface. The magnitude
of this floating potential will be just sufficient to retard the electron flux to
the point where it matches the ion flux. Values depend on the electron
temperature and the ion/electron mass ratio, but are typically in the range
-5 to -3QV and too low to produce a significant sputtering rate.
Now let an electrode be placed behind the insulator and let an rf
potential be applied to the electrode. Two things happen. First since the
capacitive impedance varies inversely with frequency, an rf-current can
now pass through the insulator. However, since the dc current must still be
zero, the total electron and ion current flux during each complete cycle
must balance to zero. Accordingly, the second consequence of applying
such an rf potential is that the insulator will develop a voltage bias that is
negative with respect to the plasma potential. The situation is very similar
to the floating potential case described above. However, in the rf case the
bias potential that develops is just sufficient to equalize the accumulated
electron and ion currents which pass to the electrode during each complete
cycle. The magnitude of the voltage bias will approach the zero-to-peak
voltage of the applied rf power, with values that are typically several
hundred volts. Accordingly, ions passing across the sheath to the surface
will accumulate sufficient energy to cause sputtering. This is the basis of
the rf sputtering method. 59 ,128,193-197
Physical Vapor Deposition 395
TARGET
SUBSTRATES
VACUUM
CHAMBER
Figure 36: Schematic drawing of rf planar diode sputtering system with single-
ended drive showing impedance matching network.
electrode as the electron cloud makes contact. Thus the electron cloud
need approach a given electrode for only a small fraction of a half cycle for
purposes of supplying sufficient electrons tofulfill the anode requirement;
i.e., to balance the entire ion flux through the cycle. Accordingly, in the
steady state both electrodes develop a negative dc bias relative to the
plasma potential, such that the electrodes approach or exceed the plasma
potential (become anodes) only for very short portions of their rf cycle, 59 as
discussed previously. Because of their inertia, the motion of the ions can
be approximated as if they follow the dc potential and pass to both
electrodes throughout the cycle. The electron cloud spends most of its
ti me near the center position between the electrodes. Visually, the discharge
looks like a dc discharge with a cathode dark space over each electrode.
Functionally, sputtering occurs very much as in the dc case, but at both
electrodes.
RF discharges in planar diode apparatuses can be operated at consid-
erably lower pressures than can dc discharges. Typical operating pressures
are 5 to 15 mTorr (0.67 to 2 Pa). There are several reasons for this.
Collisional interactions between the oscillating electron cloud and the
working gas provides an energy exchange mechanism, not present in the
dc case, which can contribute to the production of ionization. Secondary
electrons capable of producing plasma ionization are generated at two
electrodes, rather than just one. Finally, the positive space charge sheaths,
which are present at both electrodes during most of the rf-cycle, tend to
prevent the loss of at least the low and modest energy electrons.
Effective power transfer from the rf power supply to the plasma
discharge requires that the load impedance be adjusted to match the
output impedance of the power supply. Most 13.56 MHz power supplies
are designed to operate into 50 ohm resistive loads. The impedance
introduced by the plasma discharge and the sputtering target is primarily
capacitive. In fact, the development of an rf self bias on the target requires
Physical Vapor Deposition 397
VOLTAGE
DRIVEN GROUNDED
ELECTRODE ELECTRODE
A
VOLTAGE
DRIVEN GROUNDED
ELECTRODE ELECTRODE
B
Figure 37: Schematic representation of the potential variation between the elec-
trodes of rf glow discharges with equal electrode areas (A) and unequal electrode
areas (8). A nonconducting target or blocking capacitor is assumed to be present
in the unequal area case so that unequal space charge "sheath II voltage drops
develop.
400 Semiconductor Materials
1 .........- - - - -....- - - - - - . .
~ 10- 1
u
I..L.
I..L.
w.J
o
u
~
z
~
u
i= 10- 2
V)
10-3~ ~ - - - _.....
10- 2 10- 1 1
N2 MOLECULES SORBED/sec
Ti ATOMS DEPOSITED/sec
Figure 38: Sticking coefficient measured during the continuous deposition of
titanium as a function of the ratio of the gettered nitrogen flux to the titanium
deposition fl ux. Data from Reference 218.
the surface layers often have higher electron secondary emission coeffi-
cients, which result in a reduction in both the discharge voltage, as seen in
Figure 39, and the ion component of the discharge current, for discharges
driven at constant currents. Thus in the case of a Au cathode, where no
surface oxide forms, the discharge voltage and the deposition rate are not
significantly influenced by the 02 injection rate. See Figure 39. Very
pronounced losses in deposition rate are seen for oxide reactive sputtering
of materials such as AI (36X), Ti (14X), and Cr (4X), which form surface
compounds with high interatom binding energies and increased electron
1000,....-----------------.
-----~---~-------------~~~-----.
900
•
CYLINDRICAL-POST MAGNETRON
CHROMIUM (ARGON: 1.0 mTorr - 0.13 Pa)
~
GOLD (ARGON: 2.0 mTorr - 0.26 Pa)
~ 800
! I
~ DISCHARGE
c:{ VOLTAGE
600
~ __ CHROMIUM
::I: 500 e--::--
~ el-.-e]e--e-
400
REVERSE HYSTERESIS
TRANSITION EFFECT
300
TOTAL SPUTTERING RATE "-' 0.2 Torr-liters/sec
w
....c:{
1.0
/
. .. .. ~ CHROMIUM
a: 0.8
2
0 DEPOSITION
t= RATE
CATHODE
en 0.6 POISONING
0
0-
w
£:)
0.4
w
> ~
t=
c:{ METALLIC
TRANSPARENT
0.2 OXIDE COATING
...J
w COATING
a:
a0
0.02 0.04 0.06 0.08 0.10
OXYGEN INJECTION RATE (Torr-liters/sec)
years using (1) computer driven control systems, and/or (2) apparatus
configurations which provide the maximum possible separation between
the target sputtering process and thefilm growth reactions at the substrates.
Ring type plasma devices such as planar magnetrons (Figure 27H) have
proven to be particularly effective, as compared to uniform cu rrent density
devices. The higher current density under the plasma ring makes these
devices capable of operating at higher reactive gas partial pressures
without the occurrence of target poisoning. Target surface layerformation
begins at the edges of the sputtering region underneath the plasma ring
and closes in on the region as the reactive gas partial pressure increases. 224
The difficulty of the control problem depends on the nature of the
surface layer. 225 Thus transition point control is generally more difficult for
oxide than nitride deposition. Figure 40 summarizes the performance of a
planar magnetron source which was used to deposit AIN coatings by
sputtering an AI target in an Ar/N 2 working gas. 209 ,210 A microprocessor
was used to provide feedback control to parameters such as the N 2 flow
rate and the discharge current, voltage, and power. The figure shows data
for cases in which (a) the flow rate was controlled at a constant power, (b)
the power was controlled at a constant flow rate, and (c) the voltage was
controlled at a constant flow rate. Cases (a) and (b) yielded abrupt cathode
poisoning transitions and hysteresis effects, with metallic films formed
from F-D and nitride films from A-C. The voltage hysteresis in case (a) is
essentially identical to that shown in Figure 39, which corresponds to
similar operating control. In case (c) the computer adjusted the current to
maintain the voltage at the programmed value. No abrupt transitions or
hysteresis effects were encountered. Stable operation was continuously
obtained at all degrees of target surface coverage. The effectiveness of
voltage control has also been found in depositing V0 2 coatings with large
semiconductor-metal resistivity transitions. 226
In most cases of oxide deposition, special efforts must be made to
create controlled conditions at the cathode and substrates. The most
common approach is to use one or more of the following: (1) a planar
magnetron with a high current density as the sputtering source, (2) a gas
baffle surrounding the target or substrate to increase the reactive gas
partial pressure gradient between the target and substrate regions, and/or
(3) an auxiliary plasma discharge at the substrate to enhance the reaction
by creating active species. 226a
Figure 41 shows a schematic drawing of an apparatus configuration
with a reactive gas baffle. The sputtered metal flux that condenses within
the baffle housing acts as a getter pump which reduces the reactive gas
partial pressure at the target. The flow impedance introduced by the baffle
permits maintenance near the substrate of reactive gas partial pressures
which are several times the values for the case without a baffle. This is
shown by the data given in Figure 42a. The transition to an oxidized target
occurs at a lower flow rate when a baffle surrounds the target, compare
Figures 42 band 42c, apparently because the baffle reduces the pumping
speed provided in the vicinity of the target by the combination of the getter
effect and the vacuum system diffusion pump.227
The exact reaction mechanisms that are promoted at the substrate by
CONTROLLED FLOW RATES CONTROLLED POWER AT CONTROLLED VOLTAGE AT ~
AT CONSTANT POWER CONSTANT FLOW RATES CONSTANT FLOW RATES oCJ)
_1.51"'"":"
co (0) A. ~ co 1.51 (c) I
~ ~
~ 1.0 w .A
w
a: 8'
. ~ 1.0 a: 1.0 en
CD
::> ::>
en B en 3
~ 0.5
::>
w
a:
~N 0
c··
/
F········ .. ~. ····D
.:. ·1 en
~0.5
~
N
I.
D '--
,c
~E F
en
~ 005
N
.8
~c
•••• .0 £
~
F
o·
o
:::J
a.
Z Z 0 ----. . . . Z 01 ' "-.... · d c
012 o 300 600 200 300 400 ('")
..-+0
?
ca
~ .,
CD
\0.
~ . ~ 1.0
05·
w • I E
~300 D(/·' W
~ 300 ::>
t-
:1
t· ··.~D
<t
t-
...J ...... s····c
en
~ 0.5
•8
...J
o c-······ S.... •A o ex:
>200 ~ ,c
> 200
• , ! ,I
A: N D ·····E
'-.. .. f
012 o 300 600 ZOO 300 600
N 2 FLOW RATE (cc/min) POWER (W) POWER (W)
E•
Dt!··
...... s:::"c
o 300 600
POWER (W)
Figure 40: Variation of AI/N 2 reactive sputtering parameters during various modes of computer controlled operation using
planar magnetron sputtering source. Data from Reference 209.
Physical Vapor Deposition 407
REACTIVE GAS
INJECTION
SPUTTERED
FLUX
GETTER
SUFACE
PLANAR MAGNETRON
AI TARGET
SH IELD
a plasma discharge are still a subject of research. 228 However, the role of
such discharges in reactive sputtering is undoubtedly very similar to their
role in the plasma-assisted reactive evaporation case discussed in Section
3.6. Auxiliary discharges are obviously not required in apparatuses such as
plcnar diodes, which place the substrates within the sputtering plasma.
However, a substrate bias may be used in such cases.
The baffle reduces the metal flux reaching the substrate. However, this
reduction is more than compensated for, iffilms of the desired composition
can be deposited in the absence of cathode poisoning. Thus reactive
sputter deposition ofTa 20 s at 18 nm/sec,224 Ti0 2at 11 nm/sec,224 and AI 20 3
at 2.5 nm/sec 229 has been achieved using this method. The use of baffles
and auxiliary substrate discharges has proven very useful in depositing
transparent conducting oxide coatings with optimum combinations of
optical transmission and resistivity on low temperature substrates. Ex-
amples are ZnO,227 Cd 2Sn0 4,229 and In 20 3 doped with Sn. 207 In these
cases it was necessary to operate very close to the poisoning transition
point. Control of the discharge is often facil itated in such cases by a voltage
maximum which forms at reactive gas injection rates just below the values
that produce poisoning, because the target compound layer closes in on the
plasma ring. 224,23o The baffle technique has also been used to deposit high
quality AI 20 3 optical waveguides by reactive sputtering at reasonable
deposition rates. 231
In considering the reactive sputtering process it is important to recog-
nize the importance of the overall apparatus surface chemistry in achieving
408 Semiconductor Materials
a
co
0.07 I-
.
~
- .
..
~ 0.05 BAFFLE
w
cc
Cl.
.-:a:: .....
<t:
Cl.
0.03 - .. . .
NO
BAFFLE
0
N
0.01 ~
..... ... ........ ....
••• : •••
.
I
BAFFLE b
700 _I I , , I , : : :
.
.
600 - .
~
w
e"
<t:
......J
o
500 -
\
...........
>
w
o
o NO BAFFLE c
~
<t:
700 ."a:::::·:·············· ..
u
600 ""'
500 ~
• • p .
o 2 4 6
o FLOW RATE (cc/min)
Figure 42: Oxygen partial pressure and cathode voltage vs oxygen flow rate for
Zn-02 reactive sputtering, both with and without a baffle surrounding the target.
Argon partial pressure was 0.74 Pa. Data from Reference 227.
steady state operation. Clear evidence has been reported, for both Ta/0 2220
and Cu/H 2S232 reactive sputtering, which shows that the equilibration time
for steady state operation at a given reactive gas injection rate depends on
the initial state of the substrate and chamber wall surfaces as well as the
surface of the target. Equilibration times of several ampere-hours of target
operation have been encou ntered. 217 Consider the following case history.
A series of experiments were conducted to develop a reactive sputtered
coating. In each experiment a few test substrates were positioned sur-
rounding a cylindrical-post magnetron source of the type shown in Figure
29. Once operating conditions which yielded the desired coating properties
had been determined, a trial production run was made with the entire
Physical Vapor Deposition 409
(12)
Physical Vapor Deposition 411
COATING FLUX - R
i i\
ADSORPTION SITE
(13)
(14)
where Ebb is the sublimation or average surface atom binding energy for
the bulk condensate and 'l'e is a rate constant (typical units-atoms/cm 2-sec).
The adatom site-to-site hopping frequency is given by
1
v = - exp (-Ed/kT) (16)
To
(18)
where a o is the mean distance between adsorption sites (see Figure 43).
However, it is important to realize that the adatoms do not necessarily
move uniformly over a real surface, since the migration rates are dependent
on the substrate crystallographic directions and surface topography.239
The collision rate between adatoms migrating overthe surface is given
by 237
(19)
equal energy, then for R > Nov, the arriving atoms stay essentially where
they arrive. 239 If the atoms have directional bonds the layer will tend to be
amorphous. For materials with nondirectional bonds, such as metals, this
condition will lead to very fine-grained polycrystalline films. This regime of
low adatom mobility is encountered at low substrate temperatures.
At elevated substrate temperatures and low R, such that R < Re, no film
buildup can occur, although a monolayer of coating material may form on
the substrate for the case where Ea > E b. The most common regime of film
growth (R > RJ is one in which the substrate temperature is high enough
to produce considerable surface diffusion. The substrate is usually differ-
ent from the coating material, so that Ea is considerably different from the
various values of Eb. If Ea>E b, the first arriving atoms will condense as a
single monolayer. If Ea< Eb and 'r R is small, growth can occur on a uniform
substrate only through adatoms combining to form nuclei, which will tend
to be three dimensional as shown in Figure 44. There is said to be a
nucleation barrier to growth. 24o At low deposition fluxes, J (Equation 19)
may be too small to permit nuclei formation. Thus one has the concept of a
critical condensation flux to produce coating growth under given condi-
tions. 8 ,95 The stability of the nuclei depends on a balance between the
surface and volume free energies. 236 Thus nuclei that reach a critical
radius, such that subsequent growth decreases the free energy, survive
and can grow together to form grains as shown in the figure. The critical
cluster size varies inversely with Eb . 2 39 The condition Ea< Eb is commonly
encountered for metal condensing on insulators.
Most engineering substrates are characterized by a heterogeneous
distribution of sites of preferred nucleation. On such substrates the collision
rate of adatoms with these nucleation sites is generally higher than the
collision rate among adatoms themselves [J in Equation 19]. Therefore,
these sites tend to dominate the nucleation process. In fact at high
temperatures or low coating fluxes, such that J is small, nucleation will
essentially be impossible except at these sites. Thus, controlling the
density and distribution of the nucleation sites is an important considera-
tion in controlling coating properties such as grain size.
Once a continuous coating is formed, or when the condensate and
substrate are a common material, Eaand the various Eb values will depend
on the number of nearest neighbors at the atom site in question. However,
Ea(isolated adatom) will always be less than Eb. For conditions that yield a
low surface adatom density, nA' the growth rate will be limited by the
nucleation process as discussed above. Forconditions that yield a high nA'
there will be no impediment to condensation, but the atoms will tend to
group as clusters, since the atoms in the cluster have more nearest
neighbors (higher EJ than isolated adatoms.
All stages of the growth described above are greatly affected by
impurity atoms. Thus, for example, the deposition of Cd on clean W
represents a case where Ea> Eb' and layer growth occurs with no nucleation
barrier. However, the presence of less than one monolayer of oxygen on
the tungsten has been found to reduce Ea by a factor of more than two and
to yield evidence of a nucleation barrier. 239 Energetic particle bombard-
ment,such as ion bombardment, can dramatically affect the nucleation
~
~
HIGH ENERGY
TOTAL FREE SURFACE en
(1)
ATOMS
ELECTRONS COATING ENERGY ENERGY 3
o
FLUX I n"
o
o o I ::J
SITE I \ \
GROWTH
NUCLEI
/
I
I
I 0-
C
(')
r-+
o
GENERATION
/ .,
I
~
/ OJ
/ r-+
/
/ .,
(1)
/ ~.
ICC _ I '( _ RADIUS fij
/
..... ,
NUCLEATION SITES
", \
COLUMNAR \
GRAINS \
I \
\
\
\
\
\
VOLUME
ENERGY
and growth process through the removal of impurity atoms, the creation of
nucleation sites, and perhaps even by influencing the effective mobility
of the adatoms and nuclei. 38 ,241 (See Section 6.4)
A special case of importance for many device applications is the
growth of single crystal films by the phenomenon of epitaxy.95,236,238,239
The term epitaxy means the oriented or single-crystalline growth of one
material upon another, such that there exists a crystallographic relation
between the overgrowth and the substrate. 235 Epitaxy may be classed as
either isoepitaxywhere the coati ng and su bstrate are the same material, or
heteroepitaxy, where the coating and substrates are different materials.
On single crystal substrates, crystallographic edges and steps of
atomic dimensions offer preferred growth sites. Layer-by-Iayer growth
from these sites yields epitaxial coatings. Growth can also occur via the
nucleation of clusters of the type described previously. If the clusters
can adjust to a common orientation during coalescence, a single crystal
film can be produced. 95 ,236,239 Epitaxial growth conditions involve clean
surfaces, modest deposition rates, and elevated substrate temperatures.
See Section 6.2. Such conditions are apparently required to suppress the
formation of unoriented nuclei or growth at unfavorable sites. There is
evidence that energetic particle bombardment improves epitaxy. Possible
mechanisms include removing impurity atoms, causing a higherdensityof
nucleating sites and hence a relatively slow growth rate of each cluster,
and increasing the cluster mobility.239 However, this is a controversial
subject of continuing research. See Section 6.4.
6.2 Evolution of Microstructure
Once a continuous coating is formed the growth continues, as des-
cribed above, but with the surface of the growing coating serving as the
substrate. Thus the properties of vacuum deposited coatings are determined
largely by adatom surface diffusion processes which are made evolutionary
by the way the state of the coating surface changes as the coating grows. 241
However, there are two additional processes that can affect the evolution
and growth of the coating microstructure. These are bulk diffusion and
atomic shadowing. Bulk diffusion affects the coating structure at elevated
temperatures, because atoms incorporated into the coating can readjust
their positions within the lattice by bulk diffusion processes. Any process
that causes a systematic nonuniformity in the arriving coating atom flux
over the substrate surface can have a drastic effect on the evolutionary
growth process. Shadowing, a simple geometric interaction between the
roughness of the growing surface and the line-of-sight directions of the
arriving coating atoms, provides such an effect. 241 ,242 The shadowing
effect is most pronounced at low substrate temperatures. At highertempera-
tures the shadowing can be compensated for by surface diffusion; i.e., if the
surface diffusion is large enough, the point of arrival of a coating atom
loses its significance.
For many pure metals the adatom binding energies and the activation
energies for both surface and bulk diffusion are related and proportional to
the melting point. 243 Thus the basic mechanismsofcoating growth, Le., surface
diffusion, bulk diffusion and shadowing, can be expected to dominate over
416 Semiconductor Materials
20
ARGON
PRESSURE
(mTorr)
MATERIAL To/Tb
(f)
Se 0.32 CD
ZnSe 0.31 3
QUALITY OF BULK-TYPE
ZnTe 0.33 o·
AMOUNT OF FILM PROPERTIES 0
CdS 0.30 ::J
DISORDERED
MATERIAL I RATE OF
CdTe
PbS
PbTe
0.34
0.32
0.31
0-
c
()
...+
0
I
~
Y
p.)
...+
\{;( ,/
CD
~
m·
en
' " '~;/
I,,,
EVAPORATION
-~
~1-----
,.. ............. I 'CRYSTALLINE
~ I ----- Ts/Tb
CRYSTALLIZATION
REGION
AMORPHOUS
REGION
AMORPHOUS REGIONS
WITHIN FILM
NEARLY COMPLETE
\ SURFACE
ROUGHENING
EVAPORATION OF
DISORDERED MATERIAL SUBSTRATE
Figure 46: Schematic illustration of Vincett-Barlow-Roberts structure/property relationship for vacuum deposited coatings.
See References 256 and 257.
Physical Vapor Deposition 419
10
UQ)
AMORPHOUS
~
~
...w
<{
a:
...
J:
s:
0
a:
C)
10. 1
SUBSTRATE (T3)
Ga STABALIZED SURFACE
AS 2 STICKING COEFFICIENT ~ 1
Figure 49: Schematic illustration of MBE growth of GaAs from Ga and AS 2 fluxes
(upper) and Ga and AS 4 fluxes (lower). See Reference 260.
105
0.9
E
£
0.7
o
~.l.l lil:!.!i!:!il.lil: ~~~~I NG ] 10 3
w
....
<t: 0.6
a:
•i~t'~;;tiII~ E
.r:.
Q
z ~ 10 2
Q 0.5
I-
• :>
~~~::
Vi ~
a (I)
Cii
~ 0.4 ~ 10 '1J
0 :T
'<
(j)
0.3 (5"
~
0.2 <
m
'0
0.1 10. 1
o.,
0
0 0.1
L
••
0.2 0.3 0.4 0.5 0·.6 0.7 0.8 0.9 1.0 10.21 ! !
DOPED WITH 1 ATOMIC PERCENT In.
! I ! }}}:::::::::::::::l ! ! !,
o
o
CD
'0
(j)
H2S INJECTION RATE (Torr - liters/sec) o 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 ~ ~ ;::+
H S INJECTION RATE (Torr· liters/sec) 0"
2 ~
Figure 50: Deposition rate and resistivity for CdS undoped and In-doped films deposited by reactive sputtering from Cd and ~
Cd-In cyl indrical magnetron targets u~ing a H2 S/Ar working gas. Data from Reference 216. r\)
UJ
424 Semiconductor Materials
....
III
'2
U 3500 0.75 :::>
~ ~
I
~
<-
/~\
N :0
2
E S
Z
>-
I- 0
:J \
I t=
3000 I 0.50 <t
Cii \ I a:
0 I-
~
Z
0
a::
\
\
\
\
/
•I
/e
z
w
u
z
/ 0
I-
u
w
\
, 'A___ A"''''---' u
Z
...J -'" w
w 2500
GaAs
0.25
"x>-
0
RF PLANAR DIODE
TARGET VOLTAGE = 1000V
Ar PRESSURE = 2.67 Pa (20 mTorr)
SUBSTRATE TEMPERATURE = 600 o e·
2000 0
0 50 100 150 200 250
APPLIED SUBSTRATE BIAS (Volts)
Figure 51: Room temperature electron mobil ities and relative oxygen concen-
trations in GaAs films as function of applied substrate bias during film growth.
Data from Reference 275.
Thus in the sputter deposition ofGe films, there is evidence that the use of a
substrate bias suppressed the detrimental effects of an oxygen background
pressure and permitted epitaxial temperatures comparable to those
achieved in ultra high vacuum systems. 276 However, it should be noted that
even in ultra high vacuum MBE systems it has been found that the growth
required to obtain single crystal Si films on both Si and sapphire substrates
was reduced when small fractions of the incident Si beam were ionized and
accelerated. 277 ,278
One of the exciting new developments in sputter deposition is the
growth of single crystal metastable semiconductors such as (GaAs)1_xSix'
(GaSb)1_xGex' and InSb 1_xBi x' which have unique physical properties. 275,279
The growth of these materials in very controlled environments could be
considered to be a sputtering analogue to MBE. It appears that a critical
aspect of the growth of such materials is the use of low-energy ion
bombardment of the growing film to simultaneously modify the elemental
sticking probabilities and effective adatom surface mobilities. For example,
one way that ion bom bardment apparently assists in the formation of these
materials is in inhibiting the formation of second phases by preferential
sputtering of incipient precipitates. Thus, for both (GaSb)1_xGex and
(InSb)1_xBix films it was found that ion bombardment increased the growth
temperature at which single phase epitaxial alloys could still be obtained. 275
426 Semiconductor Materials
20 _ - - -.....- - - - . . - - - - - . - - - -..
GaAs
RF PLANAR DIODE
TARGET VOLTAGE = 1000V
Ar PRESSURE = 4 Pa (30 mTORR)
H 2S PRESSURE = 1.3 x 10-3 Pa (1 x 10-5 Torr)
SUBSTRATE TEMPERATURE = 570 0 C
2
o
i=
<t
a:
t-
2
w
U
~ 5
u
a:
::>
u..
...J
::>
CIJ
SPUTTER DEPOSITION
INTO SHADOWED AREAS
SPUTTER REMOVAL
OF PEAKS
SHADOWED
AREAS ION ION
RECOIL INTERFACE
ENHANCED MIXING
DISPLACEMENT DIFFUSION
It has been noted above that ion bombardment during deposition can
promote interdiffusion within a film or between a film and substrate. In a
new process called ion-beam mixing, post-deposition bombardment of a
thin film structure by very high energy ions is used to cause interdiffusion
and reactions within the structure. 288 The reaction-mixing mechanism in-
volves collision cascades of the type discussed in Sec. 5.2. In many cases, the
process proves to be remarkably efficient, with the numberof mixed atoms
greatly exceeding the number of bombarding ions. Two examples are
illustrated schematically in Figure 54. In the case shown at the top,
multiple layers of Ag and Cu, 20 nm thick, were first deposited on Si0 2 with
the thickness adjusted so that the average compositions varied between
A9 20 Cu 80 and A9 80 CU 20 • The films were then mixed using 300 keV Xe+ ions,
at a dose about equal to the surface atom density (2x1 0 15 cm- 3), with the
samples held at liquid nitrogen temperatures. The resulting coatings were
fcc cubic metastable solid solutions of Ag and Cu atoms with lattice
parameters wh ich obeyed Vegard's lawfor ideal solid solutions. In the case
shown at the bottom of Figure 54, a30 nm thick Ni film was caused to react
with a Si substrate by bombardment with 300 keV Kr+ ions. 29o The reacted
zone consisted of a Ni 2 Si phase which increased in thickness with the
square root of the ion dose. Ion doses larger than those required to
consume all of the metal yielded amorphous structures. This is in contrast
to the thermal diffusion case, where the Ni 2 Si transforms to NiSi after all of
the metal has been consumed.
a:
w
I- 4.08 A
W
:E
c:{
CC
<
Cl.-
UJ
U
...<~
..J 3.62 A
Cu Ag
ATOMIC % Au
x oc (DOSE) 1/2
(20)
MOLYBDENUM
COATING THICKNESS - 280-350 NM
SUBSTRATE TEMPERATURE "-' 100°C
1.5.... RF PLANAR DIODE -
~
~I
1.0-- /-\ -
0.5 - • -
~ ~t .~ 0 \ T_~~~~~~~ __
~z
~~
•
-0.5 - \ -
2 ~ •
~ -1.0>- \ -
8 •
-1.5 ~ -
I I I I I I I I
Figure 55: Variation of internal stress with substrate bias for molybdenum coat-
ings deposited using planar diode sputtering source. Data from Reference 300.
E 70 ~
~ I w
~ I ~
~
~ 50 I REFLECTANCE AT 560 nm Q.
I Q
II
1 1\
1\
I:
/ I
2.01- I
I
~
~
I \ II z • I CD
--;; 0 · 1 ~.
~\ ~I c..
/ 27 0 I \ " 250 I ~
C/) ~ 1.0 ., .,
I I \ l I
\
~ CRITICAL DOSE
C/)
I I \ 120 mm I I W
I I I 0:
t- I 45 eV/Cr ATOM
/ 1\/ C/)
I H'0 0 \ ,
I ~
..J O~---------~-------/-----
I \ I u..
\ I W
1\. /e
ie. /•
\
\ I
/
"<!
0:
w
z
o
en -1.0 t I, /-
I
,
••-.
<! ~
> ESTIMATED:.
ION
SOURCE
CHROMIUM
I ~
~
8 -2.0
0.01
ERROR BARS
0.1
I
I
I
1 10 100
EVAPORATION
SOURCE DOSE (Bombarding Ions per 100 Condensing Atoms)
Figure 56: Influence of concurrent ion bombardment on the internal stress in evaporated chromium coatings. Data from Ref-
erence 301.
Physical Vapor Deposition 433
generally found in the low density Zone 1 region of Figure 45 and com-
pressive intrinsic stresses in the relatively dense Zone T region. 222
In the case of triode and magnetron sputtering sources operating at low
working gas pressures, the growing coatings are subjected to bombard-
ment by energetic working gas atoms which originate at the target as ions
that are neutralized and reflected. See Section 5.2. These species are as
effective as ion bombardment in producing compressive stresses. 154,298
The stresses in such coatings therefore depend in a seemingly complex
way on those deposition parameters that determine the flux and energy of
the reflected atoms which are incident on the substrate.
Figure 57 shows the influence of the argon working gas pressure on
the interface force per unit width (integrated stress) that developed in 200
nm thick coatings of several materials deposited at normal incidence on
near-room-temperature substrates using cylindrical magnetron sources.
This general behavior has been observed for more than ten metals ranging
in mass from AI to W306 and for amorphous Si.7 7 It has also been observed
for coatings deposited using rectangu lar planar magnetrons 31 0and small
gun and ring type planar magnetrons.311-314
E
o
en
~ 1M.
/\
~ I- 100
:r:: /
l-
e NICKEL ~ /'
~
t::
z
o ~~~:~~~/e- -----i---------
::>
a:::
w
a..
/ / I
w
U Z 100
CHROMIUM ......._ -'
/ A I
J
~ 0
u.. en
en
(MASS_52)~
w
a:::
c.. e_ / I
~
U
200
- - :
STAINLESS STEEL
MOLYBDENUM
(MASS-96)
II
.- -.-- --.---------
(MASS"" 56)
300 I £
- .......
4~
400 .... ........................._ ............._ - . . _............. ..........._ ............_ ......--.....
0.2 0.4 0.6 0.8 1.0 2 4 6 8 10 20 40
PRESSURE (mTorr) .
1.0
z STAINLESS STEEL
~ CHROMIUM ,........ (MASS-56)
N z (MASS-52) ~,'...... .. \
E ~ 0.5 I...... /~.
zQ. . ' "'e,
I '
~ lo------~l--------i--~=~~~--
w
~ , •
~"""I fY
.--.-;....
~, •
/Y
<t I
ffi> en~-0.5 .._ , I ~
~
I TANTALUM
« ~ __/ /' (MASS-181)
8 -1.0 MOLYBDENUM.'
(MASS-96) ............... .'
I /'Y
I -'Y
-1.5 J
I
.------. I
CYLINDRICAL-POST
MAGNETRONS
-2.0
Ar PRESSURE = 0.13 Pa
Figure 58: Coating stress as a function of the coating flux angle-of-incidence for
coatings of various atomic mass which were deposited using cylindrical-post
magnetron sputtering sources. Data from Reference 315.
Physical Vapor Deposition 435
.
ro
~"".~
0..
\.
'\.. ..~
....~:::::-- ~
......... ~. Planar Magnetron
~ Ref. 267
•
Molybdenum Targets
t Reduced B-Field
ro 1.0
~
I t
Q)
~ 0.6
~
a..
I
I
1-----t
'--~
o
c • 1--~
:~c 0.3
co
;: •
I Cylindrical
Magnetron
I
Planar
Magnetron
Figure 60: Variation of transition pressure with discharge voltage for cylindrical
and planar magnetron cases. The open circle data points refer to cyl indrical mag-
netron cases where the magnetic field was decreased in order to increase the dis-
charge voltage from initial operating conditions near the transition value, as indi-
cated by the dashed Iines. The arrows indicate that resultant coatings were all in
high compression, signaling that the transition pressures were significantly higher
than the pressures (indicated in the figure) under which the experiments were
conducted. Data from Reference 317.
Reflection at angles less than 180°, i.e., small angle scattering, is most
probable. Substrates placed directly in front of a planar magnetron will be
bombarded only by atoms reflected at approximately 180°, while atoms
which are scattered at smaller angles and possess larger energies will
pass off to the side. The symmetry of the cylindrical-post magnetron case
causes the substrates to be bombarded by the atoms that undergo small
angle reflections. Therefore, it is believed that the substrates in cylindrical-
post magnetrons are subject to bombardment by a larger energy flux of
reflected atoms. 153 Support for this point of view has been provided by
experiments in which special shield configurations were used to isolate
the particle flux which left cylindrical-post magnetron sources at oblique
angles, from the fl ux wh ich left at more normal angles,?7,78,309 Coatings that
were deposited in the presence of the oblique flux exh ibited larger com pres-
sive stresses, and contained more entrapped working gas, than coatings
grown in the presence of only the normal flux.
It was noted in Section 5.2 that the energetic reflected working gas
species can become entrapped in sputtered coatings deposited at low
pressures. The amount of entrapped working gas exhibits the same general
trend as the stress data, increasing with the target-to-working-gas mass
ratio as shown in Figure 21, and decreasing with increased pressure.
Physical Vapor Deposition 437
10~ """""'''''''''''''''''''''~'''''''''''''''''''''''''''''''''''''''''''''
FILM STRESS TRANSITION PRESSURE
AR SPUTTERING UNLESS NOTED
4
/'"
CYLINDRICAL - POST T a . Pt
2 MAGNETRONS
,., @~
.....
"\. '" '" '" '"/ ' (a ~.c:. MoINe
ORh , . " QGd
Nb ,.,'"
o ,.,'"
Z~O@Mo
c;- V .,,'"
~
w 0.4 Ti °O~}""''''
.
a: Cr/Kr AI ........ O ....OSS
::J RECTANGULAR
~ ~9~ @Cr -Mo
..... ." .... Mo/Xe PLANAR MAGNETRONS
~ 0.2 TENSION
~
"
0.1
t
t
COMPRESSION
0.04 .Cr
/
0.02
0.01 ~ ...
o 2 3 4 5
MASS RATIO (Mt/M g )
Figure 61: Argon transition pressure, below which sputtered coatings deposited
with cyl indrical-post and planar magnetron sputtering sources are in a state of
compressive stress, as a function of the target-to-working-gas atomic mass ratio.
Data from References 306 to 310.
7.1 Introduction
The metallization of semiconductor devices is one of the primary
applications of physical vapor deposition. Metallization fortypical devices
mu"~ provide (1) metal to silicon contacts, (2) gate contacts, (3) interconnects
between various points on the chip, and (4) compatible bonding pads for
packaging and assembly operations. Aluminum is the most widely used
metallization material. It can be used to form low resistance ohmic contacts
to highly doped p± and n±-type Si and also to meet the interconnect
requirements in both bipolar and MOS integrated circuits.
In early circuit manufacture much of the AI was deposited by evaporation.
The present trend is toward usi ng AI-Cu-Si alloys contai ning typically 2 to 4
wt.%Cu and 1 to 2 wt.%Si. The Cu improves the electromigration resistance
and the Si improves the stability of the AI/Si interface. Therefore, sputtering
is coming into increased use because of its capabilities for reliably depositing
such multicomponent materials with controlled stoichiometry.
The more exacting requirements of VLSI will necessitate new metalli-
zation systems that permit shallower junctions, reduced contact resistances,
the use of dry etching processes, and multilevel interconnection schemes.
These requirements will necessitate the increased use of refractor metals
and their silicides, i.e., materials that can be deposited effectively by
sputtering. Therefore, the trend toward sputtering, which has resulted in its
use for perhaps 95% of the new wafer fabrication lines started in the past
few years,318 is expected to continue.
The reader is referred to References 319 to 323 for more detailed
discussions of the metallization of semiconductor devices. An excellent
multi-volume bibliography on metallization materials and techniques for
silicon devices has been prepared by J.L. Vossen of the RCA Laboratories
and is available from the American Vacuum Society.324
the formation of a layer of p-type AI-doped Si. This leads to erratic barrier
heights on Schottky diodes and non-ohmic contacts on n-type substrates.
An alternative solution is to deposit a silicide film, such as PtSi or Pd2Si,
between the AI and Si to stabilize the junction. 325 Unfortunately, AI reacts
with such silicides at 400°C and is able to penetrate through the silicide
and reach the Si to repeat the spiking problem. 322 Therefore, a diffusion
barrier is needed in addition to the silicide. Examples are Cr322 and Ti-W.320
The general application of such barrier layers is reviewed in Reference
326.
Silicide layers such as PtSi can be formed by evaporating orsputtering
Pt films onto the Si and annealing at 400-600°C to cause the silicide
reaction. However, when shallow contacts are desired the Si is added
externally. This can be done by depositing Si- Pt bi-Iayers by evaporation or
sputtering, and then an neali ng them to cause silicide formation. In another
approach homogeneous layers can be formed directly, by co-evaporating or
co-sputtering from Pt and Si sources, or sputtering from a Pt-Si target, and
then annealing to form the desireq silicide.
Polycrystalline Si, deposited by chemical vapor deposition, has been
the primary interconnect material used at the gate level. However, the
resistivity of polysilicon is too high for the cross sectional areas that are
available in VLSI circuits with their shrinking line widths. Consequently,
excessive RC time constants and losses in circuit speed result. 319 Refrac-
tory metal-silicides, such as TiSi 2, MoSi 2, TaSi 2, and WSi 2, offer low resistiv-
ities, low contact resistances to Si, stability against oxidation in contact
with Si0 2, and stability against reactions with AI and AI alloys. Accordingly,
these materials are being investigated as gate metallization layers.
It is projected that the shrinking lateral features ofVLSI will require the
use of anisotropic plasma etching methods such as ion beam or reactive
ion etching. 319 Such etching processes tend to produce radiation damage
that cannot be annealed out at temperatures compatible with AI metalli-
zation (see Section 7.4). A possible solution is to form contacts using
refractory materials capable of withstanding annealing temperatures in
the 600°C range. Promising candidates are again the refractory metals
such as Ti, Mo, Ta, and Wand their silicides. Since the refractory metals
and silicides do not meet requirements for wire bonding to the chips, it is
envisioned that the uppermost layer would again be a material such as
AI. In some cases a diffusion barrier such as Ti N may be requ ired between
the refractory base layer and the aluminum top layer. 326
Refractory metals are also used on some conventional devices to
eliminate the corrosion problems associated with AI.
As noted previously, Cu is added to AI to improve the electromigration
resistance. The Cu apparently improves the electromigration resistance
by segregating in the grain boundaries. 327 The composition, resistivity, and
microstructure of these films depends strongly on the deposition technique
and affects the reliability of their performance as contacts and inter-
connections. 328 It has been argued that the larger grains produced by
electron beam evaporation yield greater electromigration resistance,329
particularly for the small line widths used in VLSI.330 However, others have
argued that electron beam evaporation is not well suited to controlling the
440 Semiconductor Materials
PtSi/Ti-W/AI-Cu/Si0 2/ AI (21 )
where PtSi forms the ohmic and Schottky contacts, Ti-W acts as a
diffusion barrier, AI-Cu provides the first-level metallization, Si0 2 serves
as the interlevel insulator, and AI serves as the second level interconnection.
It has been suggested that second-level metallization layers will be depos-
ited primarily by bias sputtering to provide step coverage, as discussed in
the next section. 319
COATING FLUX
DENSE ZONE T
STRUCTURE
\ I \\I \ I \ ,
•
SUBSTRATE STEP
~'
Figure 62: The effect of substrate surface steps on the microstructure of coatings
deposited at low TIT m .
Physical Vapor Deposition 441
ARGON IONS
DEPOSITED SPUTTER-ERODED
SPUTTER-ERODED
COATING SURFACE MOVES
SURFACE MOVES
TO RIGHT
TO LEFT
a b
Figure 63: Schematic drawing showing effect of ion bombardment, due to sub-
strate bias, on coating th ickness distribution over substrate step.
Physical Vapor Deposition 443
COATING
BIAS VOLTAGE = 0
SUBSTRATE~~. 1
STEP
BIAS VOLTAGE = -60V
8 b
c d
Figure 64: Drawing, based on SEM micrographs from Reference 336, which
shows the effect of substrate bias on the thickness distribution of sputtered Si0 2
over a substrate step.
excellent step coverage of Si0 2 over straight edge profiles can be obtained
with a combination of rf sputtering from a planar magnetron source and an
rf bias applied to the substrates. 337 ,338 Furthermore, it has now been shown
that proper programming of the deposition and re-sputtering will permit
surface insulator layers to be leveled in anticipation of subsequent layers
of metallization.339-34oa
It is important to note that the use of bias sputtering can eliminate
porosity and improve the coating microstructures, as well as improve the
step coverage. See Section 6.4. However, it should also be remembered
that bias sputtering of a multi-component material can cause composition
changes because of preferential sputtering.
REFERENCES
1. R. Giang, R.A. Holmwood, and J.R. Kurtz in Handbook of Thin Film Technology,
L.1. Maissel and R. Giang ed., McGraw-Hili, NewYork(1970) pp. 2-1 to 2-142.
2. J.F. O'Hanlon,A User's Guide to Vacuum Technology, Wiley, NewYork(1980).
3. G.L. Weissler and R.W. Carlson, editors, Vacuum Physics and Technology- Vol.
14, Methods of Experimental Physics, Academic Press, New York (1979).
4. J.F. O'Hanlon, J. Vac. Sci. Technol., A1:228 (1983).
5. W.M. Brubaker, in Vacuum Physics and Technology- Vol. 14, Methods of Experi-
mental Physics, G.L. Weissler and R.W. Carlson ed., Academic Press, New York
(1979) pp. 183 to 192.
6. J.A. Thornton, "Considerations Relating to Pumping Requirements for Sputter-
ing Metallic Coatings onto Plastic Substrates," Proceedings 20th Annual
Technical Conference of the Society of Vacuum Coaters, Atlanta, GA (April
1977) p. 5.
7. B.B. Dayton, in Handbook of Vacuum Physics, A.H. Beck ed., MacMillan, New
York (1964) p.3 to 32.
8. L. Holland, Vacuum Deposition of Thin Films, Chapman and Hall, London (1966).
9. R. Giang, in Handbook of Thin Film Technology, L.1. Maissel and R. Giang ed.,
McGraw-Hili, New York (1970) pp. 1-3 to 1-130.
10. R.F. Bunshah, in Deposition Technologies for Films and Coatings, R.F. Bunshah
et. aI., Noyes Publications, Park Ridge, NJ (1982) pp. 83-169.
11. R.W. Birkmire, R.B. Hall, J.E. Phillips, and J.D. Meakin, "Cadmium Sulfide/Copper
Sulfide Cell Research," Final Report Contract No. XS-9-8309-1, Institute of
Energy Conversion, University of Delaware, Newark, DE 19711.
12. J.T. Cox and G. Hass, Physics of Thin Films, 2:239 (1964).
13. M.H. Francombe and J.E. Johnson, Physics of Thin Films, 5:143 (1969).
14. H.H. Wieder, Intermetallic Semiconducting Films, Pergamon Press, New York
(1970).
15. A. Lopez Otero and L.D. Hass, Thin Solid Films, 23:1 (1974).
16. A.M. Hermann and L.B. Fabrick, J. Crystal Growth, 61 :658 (1983) and L.B. Fab-
rick, J. Crystal Growth, to be published.
16a. S. Fuke, S. Kawarabayashi, K. Kwahara, and T. lmai, J. Appl. Phys. 60:2368
(1986).
17. Y.S. Tyan and E.A. Perez-Albuerne, in Proceedings 16th IEEE Photovoltaic
Specialists Conf., San Diego, CA (Sept. 1982) p. 794.
18. K. Mitchell, A.L. Fahrenbruch and R.H. Bube, J. Vac. Sci. Technol., 12:909 (1975).
19. R.A Mickelson and W.S. Chen, in Proceedings 15th IEEE Photovoltaic Specialists
Conf., Orlando, FL (May 1981) p. 800.
20. E. Ritter, J. Vac. Sci. Technol., 3:225 (1966).
21. W. Heitman, Appl. Opf., 10:2419 (1971).
22. J.A. Thornton, "Designing the Surface forthe Job - Thin Film Application," Pro-
ceedings 19th National SAMPE Symposium, Buena Park, CA (April 1974).
23. R.F. Bunshah and A.C. Raghuram, J. Vac. Sci. Technol., 9:1385 (1972).
24. A.Y. Cho and J.R. Arthur, Prog. Solid State Chem., 10:157 (1975).
25. L.L. Chang and R. Ludeke, in Epitaxial Growth, Part A, ed. by J.W. Matthews, Aca-
demic Press, New York (1975) p.37.
26. R.Z. Bachrach, "Molecular Beam Epitaxial Evaporative Growth," in Crystal
Growth, B.R. Pamplin ed., Pergamon, New York (1980) p. 121.
27. C.E.C. Wood, Physics of Thin Films, 11 :35 (1980).
28. K. Ploog, Ann. Rev. Mater. Sci., 11 :171 (1981).
29. K. Ploog, Ann. Rev. Mater. Sci., 12: 123 (1982).
446 Semiconductor Materials
30. J.C. Bean, in Impurity Doping, ed. F.F.Y. Wang, North Holland, Amsterdam (1981).
p.177.
31. J.C. Bean and S.R. McAfee, J. de Physique, 43:C-5 (1982).
32. W.T. Tsang, J. Vac. Sci. Tech. 'B3:666 (1985).
33. D. Huet, L. Lambert, D. Bonnevie, and D. Dufresne, J. Vac. Sci. Technol. B3,823
(1985).
34. P.E. Luscher, Thin Solid Films, 83, 125 (1981).
35. C.T. Foxon, J. Vac. Sci. Technol. B1, 293 (1983).
36. J.R. Arthur and W.C. Johnson, Industrial Research/Development, (Jan 1979)
p.107.
37. N. Matsunaga, T. Suzuki, K. Takahashi, J. Appl. Phys., 49:5710 (1978).
38. J.E. Greene and S.A. Barnett, J. Vac. Sci. Technol., 21 :285 (1982).
39. J.C. Bean, J. Vac. Sci. Technol., A1:540 (1983).
40. Y. Ota, J. Appl. Phys., 51 :11 02 (1980).
41. Y. Ota, J. Vac. Sci. Technol., A2:393 (1984).
42. A.C. Gossard, in Treatise on Materials Science and Technology, Vol. 24, Prepa-
ration and Properties of Thin Films, ed. by K. N. Tu and R. Rosenberg, Academic
Press, New York (1982) p. 13.
43. L.L. Chang, J. Vac. Sci. Technol., B1 :120 (1983).
44. B.J. Shaw and R.P. Miler, U.S. Patent 3,918,100, Nov. 11,1975.
45. T. Robertson and D.T. Morrison, Thin Solid Films, 27:19 (1975).
46. D.T. Morrison and T. Robertson, Thin Solid Films, 15:87 (1973).
47. J.K. Howard, J. Vac. Sci. Technol., A3:657 (1985).
48. W.Y. Lee, J. Vac. Sci. Technol. A3:640 (1985).
49. G.K Wehner, Advances Elec. and Elec. Phys., 7:239 (1955).
50. E. Kay, Advances Elec. and Elec. Phys., 17:245 (1962).
51. L.1. Maissel, Physics of Thin Films, 3:61 (1966).
52. E. Kay, in Techniques of Metals Research, R.F. Bunshah, ed., Vol. 1, Part3, Inter-
science, New York (1968) p. 1269.
53. G.K Wehner and G.S. Anderson, in Handbook of Thin Film Technology, L.1.
Maissel and R. Giang, ed., McGraw-Hili, New York (1970) p. 3-1.
54. L.1. Maissel, in Handbook of Thin Film Technology, L.1. Maissel and R. Giang, ed.,
McGraw-Hili, New York (1970) p.4-1.
55. W.O. Westwood, Progress in Surface Sci., 7:71 (1976).
56. J.L. Vossen and J.J. Cuomo, in Thin Film Processes, J.L. Vossen and W. Kern, ed.,
Academic Press, New York (1978) p. 11.
57. B. Chapman, Glow Discharge Processes: Sputtering and Plasma Etching, Wiley,
New York (1980).
58. R. Behrisch, ed., Topics in Applied Physics, Vol. 47, Topics in Applied Physics,
Vo. 52, R. Behrish, ed., Springer-Verlag, New York (1983).
59. J.A. Thornton, in Deposition Techniques for Films and Coatings, R.F. Bunshah,
et.al., Noyes Press, Park Ridge, NJ (1982) p. 170.
60. J.R. Roth in Topics in Applied Physics, Vol. 52, R. Behrisch, ed., Springer-Verlag,
New York (1983) p. 91.
61. P. Sigmund, Phys. Rev., 184:383 (1969).
62. P. Sigmund, in Inelastic lon-Surface Collisions, ed. by N.H. Tolk, J.C. Tully, W.
Heiland and C.W..White, Academic Press, New York (1977) p. 121.
63. P. Sigmund, J. Vac. Sci. Technol., 17:396 (1980).
64. P. Sigmund, in Sputtering by Particle Bombardment 1, Vol. 47, Topics in Applied
Physics, R. Behrisch, ed. Springer-Verlag, New York (1981) p. 9.
65. D.E. Harrison, Jr., N.S. Levy, J.P. Johnson III, and H.M. Effron,J. Vac. Sci. Technol.,
39:3742 (1968).
66. R.P. Webb and D.E. Harrison, Vacuum 34:847 (1984).
67. T. Ishitani and R. Shimizu, Phys. Lett., 46A:487 (1974).
Physical Vapor Deposition 447
114. G. Carter, J.S. Colligon, and M.J. Nobes, J. MatI. Sci., 6:115 (1971).
115. P. Sigmund, J. MatI. Sci., 8:1545 (1973).
116. G. Carter, B. Navinsek, and J.L. Witton, in Topics in Applied Physics, R. Behrisch
ed., Springer-Verlag, New York (1983) p. 231.
117. S.D. Dahlgren and S.D. McClanahan, J. Appl. Phys., 42:1514 (1972).
118. A.D.G. Stewart and M.W. Thompson, J. MatI. Sci., 4:56 (1969).
119. M.H. Witcomb, J. MatI. Sci., 9:551 (1974).
120. J.E. Greene, B.R. Natarjan, and F. Sequeda-Osorio, J. Appl. Phys., 49:417 (1978).
121. G.K. Wehner and D.J. Hajicek, J. Appl. Phys., 42:1145 (1971).
122. M.L. Tarng and G.K Wehner, J. Appl. Phys., 43:2268 (1972).
123. G.K. Wehner, J. Vac. Sci. Technol. A3:1821 (1985).
124. T. Oohashi and S. Yamanaka, Japan. J. Appl. Phys., 11 :1581 (1972).
125. R. Shimizu, Japan. J. Appl. Phys., 13:228 (1974).
126. J.L. Vossen, J. Vac. Sci. Technol., 8:751 (1971).
127. D.R. Wheeler and W.A. Brainard, J. Vac. Sci. Technol., 15:24 (1978).
128. J.L. Vossen and J.J. O'Neill, Jr., RCA Rev. 29:149 (1968).
129. D.B. Fraser and H. Melchior, J. Appl. Phys., 43:3120 (1972).
130. A.B. Cistola, J. Vac. Sci. Technol., 17:420 (1980).
131. J.J. Cuomo and R.J. Gambino, J. Vac. Sci. Technol., 12:79 (1975).
132. J.A. Thornton, in Deposition Technologies for Films and Coatings, R.F. Bunshah,
et. aI., Noyes Publications, Park Ridge, NJ (1982) p. 19.
133. J.S. Logan, N.M. Mazza, and P.O. Davidse, J. Vac. Sci. Technol., 6:120 (1969).
134. J.S. Logan, IBM J. Res. Develop., 14:172 (1970).
135. J.L. Vossen, J. Vac. Sci. Technol., 8:S12 (1971).
136. E. Taglauer and W. Heiland eds., Inelastic Particle-Surface Collisions, Springer-
Verlag, New York (1981).
137. E.S. McDaniel, Collision Phenomena in Ionized Gases, Wiley, New York(1964).
138. S.C. Brown, Basic Data of Plasma Physics, MIT Press, Cambridge, MA (1959).
139. E.W. McDaniel, The Mobility and Diffusion of Ions in Gases, Wiley, New York
(1973) p. 132.
140. W.O. Davis and T.A. Vanderslice, Phys. Rev., 131 :219 (1963).
141. A.J. Stirling and W.O. Westwood, J. Appl. Phys., 41:742 (1970).
142. J.E. Greene, University of Illinois, private communication.
143. W.O. Westwood, J. Vac. Sci. Technol., 15:1 (1978).
144. K. Meyer, I.K. Schuller, and C.M. Falco, J. Appl. Phys., 52:5803 (1981).
145. A. Gras-Marti, and J.A. Valles-Abarca, J. Appl. Phys., 54:1071 (1983).
146. R.E. Somekh, Vacuum, 34:987 (1984).
147. D.J. Ball, J. Appl. Phys., 43:3047 (1972).
148. L.T. Lamont, Jr. and A. Lang, J. Vac. Sci. Technol., 7: 198 (1970).
149. S.S. Lau, R.H. Mills, and D.G. Muth, J. Vac. Sci. Technol., 9:1196 (1972).
150. E.D. McClanahan and R. Moss, "Enhanced Thermionically Supported Discharge
Sputtering," paper presented atAmerican Vacuum SocietyThin Film Division
Topical Symposium on Sputtering, San Diego, April 6-8, 1984.
151. J.A. Thornton, Metal Finishing, 77:45 (1979).
152. J.A. Thornton, J. Vac. Sci. Technol., 15: 171 (1978).
153. J.A. Thornton, and A.S. Penfold, in Thin Film Processes, J.L. Vossen and W. Kern,
ed., Academic Press, New York (1978) p.75.
154. J.S. Thornton, Thin Solid Films, 80: 1 (1981).
155. N. Hosokawa, T. Tsukada, and T. Misumi, J. Vac. Sci. Technol., 14:143.
156. D.W. Hoffman, Thin Solid Films, 96:217 (1982).
157. U. Heisig, K. Goedicke, and S. Schiller, Proceedings 7th Int'I Sym. Electron and
Ion Beam Science and Technology, Washington, D.C. 1976, Electrochemical
Society, Princeton, NJ (1976) p. 129.
Physical Vapor Deposition 449
158. R.K. Waits, in Thin Fi/m Processes, J.L. Vossen and W. Kern, ed., Academic Press,
New York (1978) p. 131.
159. D.B. Fraser, in Thin Fi/m Processes, J.L. Vossen and W. Kern, ed., Academic Press,
New York (1978) p. 115.
160. A.S. Penfold, Metal Finishing, 77:33 (1979).
161. R.K. Waits, J. Vac. Sci. Technol., 15:179 (1978).
162. J.A. Thornton, U.S. Patent 4,126,530 (1978).
162a. B. Window and N. Savvides, J. Vac. Sci. Techno/., A4:196 (1986).
163. J.A. Thornton, Thin Solid Fi/ms, 54:23 (1978).
164. J.A. Thornton and J.L. Lamb.Thin Solid Films, 119:87 (1984).
165. B. Window and F. Sharples, J. Vac. Sci. Techno/. A3:1 0 (1985).
166. J.M.E. Harper, in Thin Fi/m Processes, J.L. Vossen and W. Kern, ed., Academic
Press New York (1978) pp. 175-206.
167. H.R. Kaufman, J.J. Cuomo, and J.M.E. Harper, J. Vac. Sci. Techno/., 21 :725
(1982).
168. P.J. Martin, R.P. Netterfield, W.G. Sainty, and C.G. Pacey, J. Vac. Sci. Techno/.
A2:341 (1984).
169. J.M.E. Harper, H.T.G. Hentzell, andJ.J. Cuomo,J. Vac. Sci. Techno/. A2:405(1984).
170. G.A. AI-Jumaily, J.J. McNally, J.R. McNeil, and W.C. Herrmann, Jr., J. Vac. Sci.
Techno/. A3:651 (1985).
171. C. Weissmantel, K. Bewilogua, K. Breuer, D. Dietrich, U. Ebersbach, H.-J. Erler,
R. Rau, and G. Reisse, Thin Solid Fi/ms, 96:31 (1982).
172. D. Bouchier, G. Gautherin, C. Schwebel, A. Bosseboeuf, B. Agius, and S. Rigo, J.
E/ectrochem. Soc., 130:638 (1983).
173. J.M.E. Harper, J.J. Cuomo, and H.R. Kaufman,J. Vac. Sci. Techno/., 21 :737 (1982).
174. J.M.E. Harper, J.J. Cuomo, and H.R. Kaufman,Ann. Rev. Mater. Sci., 13:413 (1983).
175. J.M.E. Harper, J.J. Cuomo, and H.R. Kaufman, J. Vac. Sci. Technol., A1:337 (1983).
176. W.A. Pliskin, P.O. Davidse, H.S. Lehman, and L.1. Maissel, IBM J. Res. Deve/op.,
11 :461 (1967).
177. R.C. Mosely, C.A. Sans, S.D. Campbell, and L.T. Lamont, Jr.,J. Vac. Sci. Techno/.
A3:596 (1985).
178. R.S. Nowicki, J. Vac. Sci. Techno/., 14:127 (1977).
179. J.A. Thornton and J. Chin, Am. Ceramic Soc. Bu/., 56:504 (1977).
180. M.C. Chen, J. E/ectrochem. Soc., 119:887 (1972).
181. P.L. Young, F.P. Fehler, and A.J. Whitman, J. Vac. Sci. Techno/., 14:176 (1977).
182. J.D. Barnes, D.J. Leary, and A.G. Jordan, J. Electrochem. Soc., 127: 1636 (1980).
183. W.W. Molzen, J. Vac. Sci. Techno/., 12:99 (1975).
184. G.H. Hewig, K. Jain, F.O. Sequeda, R. Tom, and P.W. Wang, Thin Solid Fi/ms, 88:
67 (1982).
185. M. Suzuki, Y. Enomoto, T. Murakami, andT.lnamura,J.App/. Phys.,53:1622 (1982).
186. J.L. Vossen, J. Vac. Sci. Technol., 8:751 (1971).
187. H. Matsunami, H. Masahiro, and T. Tanaka, J. Electronic Materials, 8:249 (1979).
188. M.H. Brodsky, H.S. Title, K. Weiser, and G.D. Pettit, Phys. Rev. B1 :2632 (1970).
189. S.A. Barnet, G. Bajor, and J.E. Greene, App/. Phys. Lett., 37:734 (1980).
190. R.H. Cornely, L. Suchow, M. Mulligan, T.O. Chan, R. Haq, and C. Mehta, J. Vac.
Sci. Techno/., 18: 190 (1981)).
191. AF. Carroll, C.F. Smith, L.C. Burton, and L.H. Slack,J. E/ectrochem. Soc., 128:1769
(1981 ).
192. S.B. Krupanidhi and M. Sayer, J. Vac. Sci. Technol. A2:303 (1984).
193. P.O. Davidse, Vacuum, 17:139 (1967).
194. B.S. Probyn, Vacuum, 18:253 (1968).
195. G.N. Jackson, Thin Solid Fi/ms, 5:209 (1970).
196. I. Brodie, L.T. Lamont, Jr., and D.O. Meyers, J. Vac. Sci. Techno/., 6: 124 (1969).
450 Semiconductor Materials
197. H.A. Koenig and l.1. Maissel, IBM J. Res. Develop., 14:168 (1970).
198. F.E. Terman Electronics and Radio Engineering, McGraw Hill, New York (1955).
199. C.M. Horwitz, J. Vac. Sci. Technol. A1 :60 (1983).
200. K. Kohler, J.W. Coburn, D.E. Horne, E. Kay and J.H. Keller, J. Appl. Phys., 57:59
(1985).
201. D.H. Grantham, E.L. Paradis, and D.J. Quinn, J. Vac. Sci. Technol., 7:343 (1970).
202. S. Maniv and W.D. Westwood, J. Vac. Sci. Technol., 17:743 (1980).
203. A.N. Castellano, Thin Solid Films, 46:312 (1977).
204. S. Schiller, U. Heisig, K. Steinfelder, and J. Stru<l>mpfel, Thin Solid Films, 63:369
(1979).
205. V.F. Drobny and D.l. Pulfrey, Thin Solid Films, 61 :89 (1979).
206. S. Maniv, W.D. Westwood, and E. Colombini, J. Vac. Sci. Technol., 20:162 (1982).
207. S. Maniv, C.J. Miner, and W.D. Westwood, J. Vac. Sci. Technol. A1: 1370 (1983).
208. J.A. Thornton and V.l. Hedgcoth, J. Vac. Sci. Technol., 13:117 (1976).
209. A. McMahon, J. Affinito, and A.A. Parsons, J. Vac. Sci. Technol., 20:376 (1982).
210. J. Affinito, N. Fortier, and A.A. Parsons, J. Vac. Sci. Technol. A2:316 (1984).
211. A. Mumtaz and W.H. Class, J. Vac. Sci. Technol., 20:345 (1982).
212. J. P. Noel, D.C. Houghton, G. Este, F. A. Shepherd, and H. Plattner, J. Vac. Sci.
Technol. A2:284 (1984).
213. M. Ostling, S. Nygren, C.S. Petersson, N. Nordstrom, P. Wiklund, A. Buchta,
H.D. Blom, and S. Berg, J. Vac. Sci. Technol., 16:200 (1979).
ed. McGraw Hill, New York (1970) pp. 8-3 to 8-43.
214. C.J. Mogab, P.M. Petroff, and T.T. Sheng,J. Electrochem. Soc., 122:815 (1975).
215. AD. Jonath, W.W. Anderson, J.A Thornton, and D.G. Cornog, J. Vac. Sci. Technol.,
16:200 (1979).
216. J.A. Thornton, D.G. Cornog, and W.W. Anderson, J. Vac. Sci. Technol., 18:199
(1981).
217. J.A. Thornton, D.G. Cornog, A.B. Hall, S.P. Shea and J.D. Meakin, J. Vac. Sci.
Technol. A2:307 (1984).
218. D.J. Harra and W.H. Haywood, Supplemento al Nuovo Cimento, 5:56 (1967).
219. S. Maniv and W.D. Westwood, Surface Science, 100:108 (1980).
220. T. M. Aeith and P.J. Ficalora, J. Vac. Sci. Technol. A1: 1362 (1983).
221. J.H. Greiner, J. Appl. Phys., 42:5151 (1971).
222. J.A. Thornton, in Proceedings of the Sixth Symposium on Ion Sources and lon-
Assisted Technology, Tokyo, Japan, June 7-9,1982, p. 363. Also see J.A Thorn-
ton, Rev. Brasil. Api. Vac., 2:89 (1982).
223. C.H. Griffiths and H.K. Eastwood, J. Appl. Phys., 45:2201 (1974).
224. S. Schiller, U. Heisig, K. Goedicke, K Schade, G. Teshner, and J. Henneberger,
Thin Solid Films, 64:455 (1979).
225. J. Affinito and A.A. Parsons, J. Vac. Sci. Technol. A2:1275 (1984).
226. Telic Company, Santa Monica, CA., unpublished research.
226a. J.A. Thornton, Surface Engineering, 2:283 (1986).
227. M.J. Brett, A.W. McMahon, J. Affinito, and A.A. Parsons,J. Vac. Sci. Technol. A1:
352 (1983).
228. M.J. Brett, Ph.d. Dissertation, Department of Physics, University of British Col-
umbia, Vancover BC, June 1985.
229. J.A. Thornton and J.L. lamb, Investigation of Continuous Deposition of AMA
Type Coatings onto Strip and Sheet by Sputtering, Final Aeport Contract No.
DE-AC0480Al13116, Aeport No. TEllC 82-3, U.S. Dept. of Energy, Albu-
querque, New Mexico, December 1982. (Available from National Technical
Information Service, U.S. Department of Commerce, Springfield, VA.).
230. S. Schiller, U. Heisig, K. Steinfelder, J. Strompfel, W. Sieber, Vakuum- Technick,
30:1 (1981).
231. G. Este and W.D. Westwood, J. Vac. Sci. Technol. A2:1238 (1984).
Physical Vapor Deposition 451
232. J.A. Thornton, D.G. Cornog, R.B. Hall, and L.C. DiNetta, J. Vac. Sci. Technol., 20:
296 (1982).
233. M.B. Francombe, in Epitaxial Growth, Part A, J.W. Matthews, ed., Academic Press,
New York (1975) p. 109-181.
234. Physics Today, 37:B6-172 (1984).
235. C. Neugebauer, in Handbook of Thin Film Technology, L.1. Maissel and R. Giang,
ed. McGraw Hill, New York (1970) pp. 8-3 to 8-43.
236. I.H. Khan, in Handbook of Thin Film Technology, L.1. Maissel and R. Giang, ed.
McGraw Hill, New York (1970) pp. 10-1 to 10-65.
237. J.W. Geus, in Chemisorption and Reactions on Metallic Films, Vol. 1,J.R. Ander-
son ed., Academic Press, New York (1971) p. 129-224.
238. M.J. Faktor and J. Garrett, Growth of Crystals from the Vapor, Wiley, New York
(1974).
239. J.A. Venables and G.L. Price, in Epitaxial Growth, Part B, J.W. Matthews, ed., Aca-
demic Press, New York (1975) p.381-436.
240. B. Lewis and J.C. Anderson, Nucleation and Growth of Thin Films, Academic
Press, New York (1978).
241. J.A. Thornton, Ann. Rev. Mater. Sci., 7:239 (1977).
242. A.G. Dirks and H.J. Leamy, Thin Solid Films, 47:219 (1977).
243. J. H. Brophy, R. M. Rose, and J. Wu Iff, The Structure and Properties of Materials,
Vol. 2, Wiley, New York (1964) p. 192.
244. B.A. Movchan and A.V. Demchishin, Phys. Met. Metallogr., 28:83 (1969).
245. J.A. Thornton, J. Vac. Sci. Technol., 11 :666 (1974).
246. S. Craig and G. L. Harding, J. Vac. Sci. Technol., 19:205 (1981).
247. R. Messier, A, Giri, and R.A. Roy, J. Vac. Sci. Technol. A2:500 (1984).
248. R. Messier and R.C. Ross, J. Appl. Phys., 53:620 (1982).
249. R.C. Ross and R. Messier, J. Appl. Phys., 54:5744 (1983).
249a. J.A. Thornton, J. Vac. Sci. Technol., A4:3059 (1986).
250. J. W. Patten, Thin Solid Films, 75:205 (1 981).
251. A.1. Shaldervan and N.C. Nakhodkin, Sov. Phys. Solid State, 11 :2773 (1970).
252. F. Jansen, Thin Solid Films, 78:15 (1981).
253. J.A. Thornton J. Vac. Sci. Technol., 12:830 (1975).
254. J.E. Yehoda and R. Messier,Applications of Surface Science, 22/23:118 (1985).
255. H.T.G. Hentzell, C.R.M. Grovenor and D.A. Smith, J. Vac. Sci. Technol. A2:218
(1984).
256. P.S. Vincett, W.A. Barlow, and G.C. Roberts, J. Appl. Phys., 48:3800 (1977).
257. P.S. Vincett, J. Vac. Sci. Technol., 21 :972 (1982).
258. K. Behrndt, in Techniques of Metals Research, Vol. 1, Part 3, "Techniques of
Materials Preparation and Handling, R.F. Bunshah ed., Interscience, New York
(1968) p. 1191.
259. K.G. Gunther, in The Use of Thin Films in Physical Investigations, J.e. Anderson,
ed., Academic Press, New York (1966) p.213.
260. C.T. Foxon, J. Vac. Sci. Technol. B1 :293 (1983).
261. J.R. Arthur, Surface Science, 43:449 (1974).
262. C.T. Foxon and B.A. Joyce, Surface Science, 64:293 (1977).
263. C.T. Foxon and B.A. Joyce, Surface Science, 50:434 (1975).
264. J. E. Greene, in Handbook of Semiconductors, ed. by T.S. Moss and S. P. Keller,
Vol. 3, North-Holland, Amsterdam (1980) p. 499.
265. J. E. Greene, CRC Critical Reviews of Solid State and Materials Science, 11 :47
(1983).
266. J. E. Greene, CRC Critical Reviews of Solid State and Materials Science, 11 :189
(1984).
267. D.M. Mattox, in Deposition Technologies for Films and Coatings, R.F. Bunshah,
et.al., Noyes Press, Park Ridge, NJ (1982) p. 224.
452 Semiconductor Materials
268. D.M. Mattox, "Thin-Film Adhesion and Adhesive Failure-A Perspective," in Ad-
hesion Measurements of Thin Films, Thick Films and Bulk Coatings, ASTM STP
640, KL. Mittal, ed., American Society for Testing Materials (1978), p. 54.
269. L.1. Maissel and P.M. Schaible, J. Appl. Phys., 36:237 (1965).
270. A.G. Blackman, Metall. Trans. 2:699 (1971).
271. A.G. Blackman, J. Vac. Sci. Technol., 10:299 (1973).
272. M. Marinov, Thin Solid Films, 46:267 (1977).
273. R.E. Hurley, Thin Solid Films, 86:241 (1981).
274. S.A. Barnet, G. Bajor, and J.E. Greene, Appl. Phys. Lett., 37:734 (1980).
275. J.E. Greene, S.A. Barnett, K.C. Cadien, and M.A. Ray, J. Crystal Growth, 56:389
(1982).
276. I.H. Kahn, J. Appl. Phys., 44:14 (1973).
277. T. Narusawa, S. Shimizu, and S. Komiya, J. Vac. Sci. Technol., 16:366 (1979).
278. S. Shimizu and S. Komiya, J. Vac. Sci. Technol., 17:489 (1980).
279. J.E. Greene, J. Vac. Sci. Technol. B1 :229 (1983).
280. S.A. Barnett and J.E. Greene, Surface Science, 128:401 (1983).
281. R.D. Bland, G.J. Kominiak, and D.M. Mattox,J. Vac. Sci. Technol., 11 :671 (1974).
282. D.M. Mattox and G.J. Kominiak, J. Vac. Sci. Technol., 9:528 (1972).
283. D.M. Mattox and G.J. Kominiak, J. Electrochem. Soc., 120:1535 (1973).
284. J.A. Thornton, Thin Solid Films, 40:335 (1977).
285. F.B. Koch, R.L. Meek, and D.V. McCaughan, J. Electrochem. Soc., 121 :558 (1974).
286. W.D. Sproul and M.H. Richman, J. Vac. Sci. Technol., 12:842 (1975).
287. J.J. Cuomo and R.J. Gambino,J. Vac. Sci. Technol., 14: 152 (1977).
288. J.M. Poate, in Treatise on Materials Science and Technology, Vol. 24, Preparation
and Properties of Thin Films, ed. by K N. Tu and R. Rosenberg, Academic Press,
New York (1982) p. 213.
289. B.Y. Tsaur, J.W. Mayer, and K.N. Tu, J. Appl. Phys., 51 :5326 (1980).
290. B.Y. Tsaur, PhD Thesis, California Institute of Technology (1980).
291. R.W. Hoffman, in Physics of Thin Films, Vol. 3, G. Hass and R.E. Thun, ed., Aca-
demic Press, New York(1966) p.211.
292. P.G. Shewmon, Transformations in Metals, McGraw Hill, New York (1969).
293. R. W. Hoffman, in Physics of Non-Metallic Thin Films, H.S. Dupry and A. Cachard
eds., Plenum Press, New York (1974).
294. M. Murakami, T.S. Kuan, and I.A. Blech, in Treatise on Materials Science and
Technology, Vol. 24, Preparation and Properties of Thin Films, ed. by KN. Tu
and R. Rosenberg, Academic Press, New York (1982) p. 163.
295. C.J. Santoro, J. Electrochem. Soc., 11 6:361 (1969).
296. W.B. Pennebaker, J. Appl. Phys., 40:394 (1969).
297. S.K Lahiri, J. Appl. Phys., 41:3172 (1970).
298. P.R. Stuart, Vacuum, 19:507 (1969).
299. R.S. Wagner, A.K. Sinha, T.T. Sheng, H.J. Levinstein, and F.B. Alexander, J. Vac.
Sci. Technol., 11 :582 (1974).
300. R.N. Castellano, M.R. Notis, and G.W. Simmons, Vacuum, 27:109 (1977).
301. D.W. Hoffman and M.R. Gaerttner, J. Vac. Sci. Technol., 17:425 (1980).
302. E. Klokholm and B.S. Berry, J. Electrochem. Soc., 115:823 (1968).
303. W. Buckel, J. Vac. Sci. Technol., 6:606 (1969).
304. R.W. Hoffman, Thin Solid Films, 34:185 (1976).
305. H. Oikawa and Y. Nakajima, J. Vac. Sci. Technol., 14:1153 (1977).
306. D.W. Hoffman and J.A. Thornton, Thin Solid Films, 40:355 (1977).
307. J.A. Thornton and D.W. Hoffman, J. Vac. Sci. Technol., 14:164 (1977).
308. D.W. Hoffman and J.A. Thornton, Thin Solid Films, 45:387 (1977).
309. J.A. Thornton, J. Tabock, and D.W. Hoffman, Thin Solid Films, 64:111 (1979).
310. D.W. Hoffman and J.A. Thornton, J. Vac. Sci. Technol., 20:355 (1982).
311. D.W. Hoffman and P.1. Goodsmith, "Decorative Metallizing by Magnetron Sput-
Physical Vapor Deposition 453
348a F.D. Auret, M. Nel, and N.A 8ojarczuk, J. Vac. Sci. Technol. 84: 1168 (1986).
349. R. Singh, S.J. Fonash, S. Ashok, P.J. Caplan, J. Shappiro, M. Hage-Ali, and J.
Ponpon, J. Vac. Sci. Technol. A1 :334 (1983).
350. S.W. Pang, D.O. Rathman, D.J. Silversmith, R.W. Mountain, and P.O. DeGraff, J.
Appl. Phys., 54:3272 (1983).
351. R. Singh, S.J. Fonash, P.J. Caplan, and E.H. Poindexter,Appl. Phys. Lett. 43:502
(1983).
7
Diffusion and Ion Implantation in Silicon
Richard B. Fair
Microelectronics Center of North Carolina
Research Triangle Park, NC
INTRODUCTION
455
456 Semiconductor Materials
CONTINUUM THEORY
de
J =-D- (1)
x dx
(JC iJ
-;- = iJx
I0;-acj
For the special case where D is constant and the surface concentration of
the impurity that is diffusing is fixed, then Equation 5 results:
a2c
D-=-
ac
(5)
ax2 at
Fick's Second Law is a continuity equation which describes the time rate of
change of the impurity concentration. The diffusion constant D is in units of
cm 2/sec. and the concentration C is usually in units of atoms/cm 3 .
Special Cases
Predeposition. Under the special case where D is constant, the surface
concentration of the diffusing impurity is fixed, the concentration of the
impurity at x= is C( ,t)=O for all time, and the concentration at any point
00 00
(a)
c
(b)
---~--
I
I
I
J
(c)
1- -I Ax
10- 4 L.--...L...-.....I----J.....---L-----II..-...L-....l---Io---L.----I-L-~------
o 1.0 2.0
xf.J4Bt
Figure 2: Normalized Gaussian and complementary error function curves.
Diffusion and Ion Implantation 459
(10)
(11)
The production of 8 2 °3 may come from either one of the reactions described
in Equations 12 or 13,
(12)
(13)
The source of the boron nitride in Equation 13 may be in the form of disks
460 Semiconductor Materials
1.0
0.8
0.6
0
~
u
0.2
x (p)
10- 1
10- 2
0
~
U
10- 3
about the size of a silicon wafer which are placed next to the wafers in the
diffusion furnace.
By varying the partial pressure of the gas phase of the dopant in the
furnace, it is possible to change the concentration of impurities in the
silicon. Henry's Law relates the concentration of dopants that are introduced
in the furnace to the surface concentration:
(14)
Figure 4 shows Henry's Law plotted. It can be seen that once the solid
solubility of boron in silicon is reached, Henry's Law no longer applies.
Thus, most predeposition steps operate with a high enough partial pressure
in the dopant gas phase that solid solubility of the dopant is achieved in the
silicon. This provides a natural control for reproducible diffusion results.
Diffusion and Ion Implantation 461
Solid solubility of
- - -at....... --------......--
Bin Si °c
1100 ./ ""
~- --
/
/
/
/
2
/
/
/
o
o /
Line corresponds to Henry's law,
with H E! 2 X 1()25 atm/cm3
0.5 1 1.5
Ps (Torr)
(IS)
Q (t) = f C (x,t) dx
o
00
(16)
C (~,t=O) = QB(x)
C (CO,t) = 0
The solution to the equation above must be graphed and is shown where
the left-hand-side of the equation intersects the righthand side of the
equation in Figure 5. Note that if x = 0, the surface concentration Co
decreases as 1/Vt as shown in Equation 18. The junction depth can be
found by taking the log of both sides of Equation 17:
C (t) = _Q-
o J;f5t (18)
(19)
112
Dt (drive-in) I > 4
I Dt (l'redep)
Otherwise, a more complex solution is necessary, and under these condi-
tions the solution is
2Co J.L exp (-Bel + p.2))
C (x,t) =- J 2 du
(20)
1T 0 1+j.L
Diffusion and Ion Implantation 463
10- 3
-;;
0
~
N._
)(
~
Q.
)(
cu
0
~..
0
10- 4
t (sec)
Figure 5: Graphed solution to the equation cited in the example in the text.
where
1/2 2
Dt (predep) 1
IJ.=
1Dt (drive-in)
B _I :x 1
2 [01 (predep)+ Dt (drive-in)]l12
Diffusion Coefficients
Diffusion coefficients are based upon the atom ic behavior of the atom
in a host lattice. Diffusion coefficients obey an analytical form as described
in Equation 21.
464 Semiconductor Materials
1 x 105
0.8
I 0.6
E
~
0 0.4
U
0.2
2 3
x (JJ)
105
104
103
'~E
~
0
U 102
10
o 2 3
x (J.L)
Figure 6: The Gaussian function: normalized concentration vs. distance for suc-
cessive times.
Impurity P As Sb B Al Ga In
1.0
0.8
2- 0.6
~c.
= 1.0
ur
........
u
0.4
Y!(Dt)Predep/(Dt)drive
0.2
0
0 2 3
x/2V(Dt)drive
Exact
~--""""'-Uncoupled
10- 3 ~_.&--......L----a._.a...--A----a... ..lto._......- ........._.a..-~
o 1 2 3
x/2 V (Dt)drive
Diffusion Mechanisms
The atomistic theory of diffusion is concerned with describing how an
atom gets from one part of a crystal to another. The lattice sites in a crystal
are generally taken as the fixed location of the atoms making upthe crystal.
It is known that the atoms oscillate around these lattice sites which are
their equilibrium positions. These oscillations lead to finite chances that
an atom will move from its lattice site to another position in the crystal.
There are several ways by which atoms can move from one site in the
crystal to another. These mechanisms are
00000 0000
00000 00~0
00-. 00 0000
00000 (b) The interstitial diffusion mechanism.
(a) The vacancy diffusion mechanism.
0000
OpOO
0000
(c) The interstitialcy mechanism.
change position along the x axis indicated in Figure 9. The atoms in this
simple case are taken to be located in planes at X o and X o + a o as shown in
the figure. The flux J is simply the concentration C times the velocity v:
Jx =C v (22)
The net flux is the difference between the flux to the right and from the left:
1
Jx = - v
2
(c; -ex + a )
0 0 0 (23)
Figure 9: Flux in the x direction through the unit area in unit time. The planes
of the unit area are located at x == X o and x = X o + a o .
468 Semiconductor Materials
For motion by discrete jumps between planes a o apart, the velocity is the
number of jumps per second, f, times the distance a o of each jump.
Equation 25 may now be written as
1 2 de
Jx = - - ao r-.
2 dx
(28)
These terms are related to the Gibbs free energy change for vacancy
formation through the equation
(31)
where ~Sm and ~Hm are called the entropy and enthalpy of motion
respectively.
Now, the frequency, w, at which an atom and an adjacent neighboring
vacancy exchange can be written
Diffusion and Ion Implantation 469
Activated atom
o ~OO-O
(a)
o (b)
0000 (c)
(a) (b)
(d)
Figure 10: The sequence of (a), (b), and (c) show the movement of the atom
from a normal lattice site to an adjacent vacancy. Part (d) shows the variation of
free-energy as the atom moves from (a) to (c).
(32)
where the frequency, Y, is generally nut known and is usually taken as the
lattice vibrational frequency of an atom about its equilibrium site, which is
of the order of 1 Q13/ sec -1. Now, from Equations 28,29 and 31 and 32 the
jump frequency for vacancy self-diffusion is
Do = -1 2
~ y exp [(AS r + ~Sm)/k]
2
and
(37)
470 Semiconductor Materials
(38)
Also the activation energy for vacancy diffusion depends upon the energy
necessary to form the vacancy and to move the lattice atom into an
adjacent vacancy.
1.2 Si AT O~K
CB
O.lleV =
1.0 TV 0.44eV
-
:>
-GJ
0.8
1 v-
>-
t.:)
Q: 0.6
u.J
Z
L.6.J
0.4
0.2
--L v+
V+ <0.05 eV OR v++~O.l6 eV
0 VB
Figure 11: Estimated vacancy energy levels in the silicon band-gap at OOK.
Diffusion and Ion Implantation 471
T=300 0K T=1400oK
6 6
4 4
2 2
,..,
......, 0
C
~
C)
0
-"" -2 -2
-4 -4
-6 -6
-8 -8 ~--'-----"""----'
o 0.2 0.4 0.6 0.8 1.0 0 0.2 0.4 0.6
E V E F(eV) EC EV EF{eV) EC
(a) (b)
Figure 12: Calculated changes in the ratios of ionized to neutral vacancies at (a)
0 o
300 K and (b) 1400 K.
472 Semiconductor Materials
u
WJ
V)
.........
N
E
-e
H
u INTRINSIC ~
g DIFFUSION
~
EXTRINSIC
DIFFUSION
n·I
LOG(n) ELECTRONS/em 3
Figure 13: Donor impurity diffusion coefficient vs. electron concentration show-
ing regions of intrinsic and extrinsic diffusion.
can influence surface bonding which also affects surface quality. As these
processes produce point defects it is possible that extended structural
defects can grow in the silicon. Point defects can also influence the
precipitation of oxygen. Oxygen is incorporated into the crystal during
crystal growth, and precipitates during subsequent heat treatments. It is
known that these precipitates create good internal gettering sites for
metal impurities with subsequent impact on junction quality.
Point Defects
Point defects are defined as atomic defects. There are atomic defects
such as metal ions which can diffuse through the lattice as shown without
involving themselves with lattice atoms or vacancies. Another type of
atomic defect is the self-interstitial which in silicon is a silicon atom that is
bonded in a tetrahedral interstitial site. Examples of point defects are
shown in Figure 15.
One of the major controversies in solid state science currently is: what
is the dominant native point defect in silicon - the monovacancy or the
silicon self-intersitial? A brief review of the arguments for each species is
given below
The Monovacancy
From statistical thermodynam ics, it is known that if a vacancy is formed
by removing an atom from the crystal and depositing it on the surface, the
free energy of the crystal wi II decrease as the nu mber of vacancies created
increases until a minimum in this free energy occurs. Because a minimum
in the free energy occu rs for a certain vacancy concentration in the crystal,
the vacancy is a stable point defect. Other experimental observations
involving vacancies are listed below: 10
Self-interstitial I
Substitutional dopanu
B,AI, Ga P, As, Sb
p- n-type
After reviewing the balance sheet of pros and cons surrounding the
question of the native defect in Si, one is still left with the question: what is
the native defect responsible for impurity diffusion and defect growth in
Si? So far we only have clues. However, the majority opinion currently is
that both types of point defects are important. Thermal equilibrium concen-
trations of point defects at the melting point are orders of magnitude lower
in Si than in metals. Therefore, a direct determination of their nature by
Simmons-Balluffi type experiments 24 has not been possible. The accuracy
of calculated formation and migration enthalpies appears to be within ±
1 eV but do not help to distinguish whether vacancies or interstitials are
dominant in diffusion. The interpretation of low temperature experiments
on the migration of irradiation-induced point defects is complicated by the
occurrence of radiation-induced migration of self-interstitials. 25 ,26In addition,
there are indications that the structure and properties of point defects may
change from low to high temperatures. 27 The observation of extrinsic type
dislocation loops in dislocation-free, float zcne Si showed that self-inter-
stitials must have been present in appreciable concentrations at high
temperature during or after crystal growth. 28 ,29 However, it is unclear
476 Semiconductor Materials
v+I ~ 0, (40)
where 0 denotes the undisturbed lattice. The law of mass action under
equilibrium for this reaction is
(41)
For sufficiently long times and high temperatures Equation 41 turns out to
be fulfilled. 31 ,32 However, it has been reported that a substantial amount of
time may be required for dynamical equilibrium to occur. 32 This would
make vacancy/self-interstitial recombination an activated process. In
addition, under point-defect injection conditions, Equation 41 may no
longer be valid.
If both types of point defects are important, diffusion processes may
involve both types:
Diffusion and Ion Implantation 477
where Djl is the interstitialcy contribution and Djv is the vacancy contribution
to the total measured diffusivity, OJ' One way in which vacancies and self-
interstitials could cooperate in affecting impurity diffusion is the Watkins
replacement mechanism 34 shown in Figure 16. Interstitial dopant impurities
can be created by the exchange between a self-interstitial and a substitu-
tional dopant atom. The newly created interstitial impurity would migrate
until it finds a vacancy. Then, it is free to diffuse again as a substitutional
impurity.
It is evident now that both vacancies and self-interstitials can exist in
equilibrium with each other in the silicon lattice. Each species can be
decribed by equilibrium equations of the type:
(43a)
(43b)
DSi =f y Dy ~ + f 1 ~ cf
Experimental Observations
In order to understand whether vacancies or self-interstitials are
000000
0,tf06'- a
000000
Figure 16: A schematic diagram of the Watkin's Replacement Mechanism.
478 Semiconductor Materials
V Only Vand I
Questions:
.I+V~O
'1 0 0
• C,C v =C,C v
• Time to equilibrium?
In 0
lIT
Figure 17: A diagram of the spectrum of the vacancy vs. self-interstitial debate.
Table 2
Oxidation-Enhanced Diffusion
As it was mentioned in the above discussion, oxidation generally
enhances the diffusion of Group III and Group V elements except for
antimony. These results are summarized in the Figure 19. In this figure
oxidation- enhanced diffusion is generally observed by depositing a silicon
nitride mask on the silicon surface which will prohibit oxidation in the
regions that it covers. Then oxidation is performed in a window opened to
the silicon surface, so that differential changes in junction depth can be
observed. In orderto explain these results, HU 36 proposed a model whose
essential points are:
PARTIAL
DISLOCATION
__- - c I C
L
(4F)L
/ ci = EXP RT
C0 ---.::...--L.----=---'--t~
I DISTANCE
Figure 18: A model of self-interstitial diffusion from the bulk to the partial dis-
location bounding a stacking fault. Under non-oxidizing conditions the concen-
tration of self-interstitials at the fault line, C, L, is greater than the equilibrium
bulk interstitial concentration, C,a. Under oxidizing conditions, C, is greater
than C, L until the retrogrowth temperature is reached.
~ J
I Supersaturation V Reduction
we can write
Experiments that use the backside of the silicon wafer to inject self-
interstitials and thus observe diffusion on the frontside of the wafer are
illustrated in Figure 20. 42 ,43 On the wafer surface, films of Si 3 N4 or Si3 N4 on
Si0 2 are deposited over previously diffused layers. On the backside a
window is opened whose distance from the frontside surface can bevaried
by etching. It can be seen in the figure that the backside oxidation can, in
fact, influence the diffusion of dopants on the frontside surface. The ratio of
the junction depth under the oxidized portion to the non-oxidized portion
versus distance from the backside oxidizing surface is shown in Figure 21
for boron-phospohorus and antimony. These results were obtained in float
zone (FZ) silicon with no oxygen incorporated in the silicon. It can be seen
that self-interstitial diffusion lengths of the order of 200-300 microns were
obtained. These backside oxidation experiments show:
BNaarea BO....rea
XJBO
Figure 20: Experiments illustrating the use of the backside of the silicon wafer
to inject self-interstitials in order to observe diffusion on the frontside of the
wafer (after Mizuo)"
1.5
1.0 ~ - - - - - - - -
_--::::;~'-=----------j
_
"..,-"'-
~
e/
A
/'
0.5 oL-----'-1...Loo---2o:1:-o----=:3o~0
-----:-;40~0--~500
Figure 21: The ratio of the junction depth under the oxidized portion to the
non-oxidized portion of the wafer vs. distance from the backside oxidizing
surface.
g3.0xl0- L I
-0 --0- - .1)_ - - ,
~ \
3 '\ DSI CALCULATED
• (a)
", ~6 00 CALCULATED
........ 0
1.0 .........
O~-----I------~t---------t
10 14 10 15 10 16 10 17
TOTAL IMPURITY DOPING, QT(cm- 2)
3.0xl0- 15
DSI CALCULATED
--0-------0----, ••
\0
2.0 \
.\
(b)
'\
1.0 ""<.. ~. DO CALCULATED
DATA FROM TANIGUCHI etal ...... 0 ......
Figure 22: Measured and calculated values of boron and phosphorus diffusivity
as a function of total impurity doping. Data are divided into contributions to
substitutional impurity diffusion under non-oxidizing conditions, DS 1 and the
enhanced contribution due to oxidation LiDo.
Diffusion and Ion Implantation 485
Si + 1J2Cl:r-+SiC1 +v.
I +V --+0. (50)
(Hel oxidation)
Si
•
¢:=== OSF shrink
Figure 23: Diagram of SiCI formation during oxidation with the subsequent in-
jection of vacancies. The vacancy injection reduces the concentration of self-
interstitials in the bulk and causes oxidation stacking faults to shrink.
486 Semiconductor Materials
20
E
-=
~
t; 6
z:
"'"
-'
~
:5
c
4
"-
T=1100°C
Co:'
z: t=2 HR.
;::
Co.)
c
o ·SHIRAKI
~
U')
10- 1 10 0 10
PERCENT HCI IN 02
Figure 24: The effect of adding Hel to O 2 on stacking fault length after oxida-
tion of silicon.
Silicon self-diffusion data over the range 850 to 1380°C are shown in
Figure 25. 35 ,48,49 The high temperature data show an activation energy of
5.02 eV while the lower temperature show a 4.25 eV energy. Watkins and
Corbett 50 reported an activation energyforself diffusion in Si of 3.9 eV. This
result was obtained from low temperature annealing of E-centers, impurity-
vacancy pairs at 100° K. The cause forthe continual decrease in activation
energy with decreasing temperture has been ascribed to different charge
state vacancies dominating self-diffusion in the various temperature
ranges. 13,51 ,52 Th us at very low tem peratu res neutral vacancies may dom inate
self-diffusion. At high temperatures, both donor and acceptor vacancy
diffusion was considered important. An alternate view was expressed by
Seeger and Chik 35 who suggested that in Si at low temperatures, self-
diffusion mainly occurs via vacancies, whereas at high temperatures it is
dominated by the interstitialcy mechanism. Their observations indicate a
change in the self diffusion mechanism and/or in the entropy and enthalpy
of self diffusion as a function ,of temperature. The change in entropy with
temperature can be accounted for by assuming that the form of the self-
interstitial changes with temperature. For example, the entropy would
increase due to a spreading out of the self-interstitial over several atomic
volumes.
Diffusion and Ion Implantation 487
TEMPERATURE (OC)
10-12 1300 1200 1100 1000 900
10- 15
~.-....--CALCULATED (B-DOPED TO
\
2.5xI0 cm- 3 )-QSi =4.78eV
19
"
,,
CALCULATED '
(INTRINSIC)
10- 18 • INTRINSIC Si (HETTICH,
etal)
o Ni IN INTRINSIC Si(SEEGER
& CHIK)
A B·DOPED Si (HETTICH, etal)
6 7 10 11
Figure 25: Self-diffusion data in intrinsic and heavily doped nand p-type silicon.
(51)
[vl n [V1
-------
[V~ ni [vi
Using the relation D Si = 1/(2n H)D)VJ i, where the one-half term is the
correlation factor for the diamond lattice and n H is the number of lattice
sites, the Si self diffusion coefficient becomes
0Si -
x
DSi -I I
+ 0Si ~
D =
+ °Si [n ]2 + °Si+ I- : 1•
nj
Di
(53)
The expression for DSi = cannot be obtained by analyzing the data in Figure
25. The values of the activation energies for each term are consistent with
the formation enthalpies, migration enthalpies and average free ionization
energies associated with each vacancy.53 Thus, at temperatures below
600°C Equation 53 predicts that Q Si approaches 3.8geV as the neutral
vacancy dominates self-diffusion. This agrees with the low temperature
value observed by Watkins and Corbett. 50
into the lattice, and this would be the rate controlling mechanism as it is for
self-diffusion. Therefore, the difference between the activation energy for
self-diffusion and Group III or V impurity diffusion is less than the impurity-
vacancy pair binding energy, Eb. This is illustrated in Figure 26 where a
particular long-range interaction potential is assumed. The potential energy
between a vacancy at a third coordination site and one infinitely removed
from the impurity atom is ~Q. Thus the activation energy for impurity
diffusion, Q" is proposed to be
-'
~
>-~
~z:
-L.t.J
o::~
==>0
Q..o...
~z:
>'0
u;::
Zu
ex: c:x:
UQ:::
ex: L.t.J
>fooo-
Z
012345678
VACANCY SITE ON COORDINATION
SHELL ABOUT THE IMPURITY
Figure 26: A schematic diagram showing a long range vacancy-impurity interac-
tion potential which could account for the lower activation energy of impurity
diffusion compared with self-diffusion in silicon.
490 Semiconductor Materials
I
-+t-
I
: ~ Jp
+-1,
I
-+-I (INTERSTITIAL
J
I
FLUX)
I
PHOSPHORUS .....+-
I
JS'I
EMITTER I
c I
!
• J8
I
I ___ BURIED
.... ,, LAYER
,,
~
,,~~
',,~t
x
Figure 27: A schematic diagram showing the expected redistribution of a buried
layer under the influence of a flux of self-interstitials chemically pumped from
the surface by a phosphorus diffusion.
Diffusion and Ion Implantation 491
(a)
X
P
/ PROFILE AFTER
IRRADIATION
u
z
o
...
C.)
z
c: (c)
G-
o
o
Rp
dopant atom-point defect pair. 37 From our previous discussion the chemical
pumping effect is unlikely. Thus the question remains: which type of point
defect is more Ii kely to pair up with the dopant atoms? The answer appears
to depend in an unpredictable way on the type of dopant atom. For that
reason, the following sections will describe what is known about each of
the important dopants in Si.
TEMPERATURE (DC)
10- 11 _---:~-1.....,2~0..:....0 __I""T""10_0_ _1--,00_0_ _---.900
ARSENIC
EXTRINSIC DATA
Di (3.44 eV)
o GHOSTAGORE
• MASTERS AND FAIRFIELD
• ADDA
A FAIR AND TSAI
o BALDO et al.
• WEBER et al.
x CHAN AND MAl
0~4)CK-l)
V = + 2As + = VAs 2 0
(57)
494 Semiconductor Materials
IOOO'C ra 02
'L- N2
A N2
1050C 6 02
{
o WET 02
1100'C • 02 •
1200:C • 02
Figure 30: Arsenic diffusivity vs. total arsenic concentration. The solid curves
are calculated. The data represent diffusions in various furnace ambients.
where the formation of V-As+ and V=As+ pairs are included. By writing
down the equilibrium reactions forthe formation of each species in Equation
57 and setting CAS = n, then CT becomes
(58)
(59)
which is Fick's First Law. If J is the flux of monatomic As, CAs' with a linear
concentration-dependent diffusivity, then
CAs (jC.As
J=-Di - --.
ni ax
=-Di -
CAs acAs
- - --.
CJCr
. Dj CJCr ox
Substituting Equation 58 and solving for DAs yields
D j (n/nj)
D,As = 3
4Kc(T)n
1+---- 2
(62)
1 + 3K b(T)n
900 0e
2020 MIN .
• -C
T
I
E
u
---
0::::
o
.-
u
10 22
CALCULATED
1M PLANTED-DIFFUSED
~-
~.,
SOLUBILITY
L1MIT(1050 C)
E ~
(..)
10 2C
CHEM ICAL [A
MUROT A. eta I
SOURCES • FAIR
IMPLANTEDf o lxl0 115 cm- 3 (1050 C)
SOURCES L~~ 2xl0 1E cm o3 (1100 C)
Figure 32: Electron concentration vs. total arsenic for chemical source arsenic
diffusion and diffusion of an ion-implanted arsenic layer with the same inte-
grated concentration.
CT C
T=34 T =38
I
/ hR o
0
ni
.y
/
o
CALCULATED
DI =1 .4x10-15~2
o
SEC
T= 1000°C
Figure 33: The effect of diffusivity of arsenic vs. total concentration for dif-
fusions into p-type sil icon at 1000°C.
~ 10 21 J------4-----~---_+_----t_---_1
C"")
Ie 5110 20
~
c:: t~c..
CVAs2,Cr
10 20 J---------+------f--.:::::...--t-------f"lI"--------~
~
7e 5110 20
~
c::
10 20 L..-...L.-~~..LU....---JL..._J......L...L.J..LLL~.:::I......L...L....&...I_I..u..L..____I""__I_ ~._..
......................&-.-....&._..............
10 16 10 18 10 19
CONCENTRATION (em -3)
Figure 34: Arsenic and arsenic-vacancy pair concentrations vs. electron concen-
trations at two temperatures.
498 Semiconductor Materials
Property Result
X
Large AS~ (11.6k.) Diffusion via V is dominant at low concentrations
Large P+V= pair binding p+V= pair dominates high concentration diffusion
energy (1.57 eV) a. P+V= pairs compensate monatomic p+
b. Gettering of donor metal ions .... P+M-
p+V= dissociation Q,t 1. Emitter push effect
2. Defect shrinkage near junction
3. Reduced [Oil precipitation
Small covalent radius 1. Misfit strain
8. Gettering by misfit dislocations
b. Reduced diffusivity through band gap
narrowing
0-
10 -12 : Of} FAIR AND TSAI
• MAKRIS AND MASTERS
• GHOSTAGORE
10- 13, --
• TSAI
• o MATSUMOTO
10- 14 ....--of = 3.85 exp (-3.~; ev)
Co.)
N
LoU
V)
..........
10- 15
0
_ (-4-.0 ev)
Dj = 4.44 exp -k-T-
E
u
0'
10- 16
44.2 exp (
-4.37
kT
eV)
into an epitaxial layer grown over an Sb buried layer. 59 ,6o The point-defects
generated by the P surface diffusion retarded the diffusion of the buried Sb
layer. Retarded diffusion of Sb has also been observed under an oxidizing
surface in which Si self-interstitials are generated. 31 ,32
Recently these experiments were repeated in which the P diffusions
were characterized and the surface concentrations were above the solid
solubility limit. Over the temperature range 900-1150°C, reduced and en-
hanced diffusion of buried Sb or As layers respectively was observed. 22 In
addition, extrinsic stacking faults over the buried layers were observed to
grow at the same time. Thus, the generation of self-interstitials seems to be
associated with supersaturated P diffusion. Along these same lines, Nishi
and Antoniadis 61 have shown that oxidation-induced stacking faults (OSF)
grow fasteror shrinkslowerwith increasing phosphorus/cm 2 both within the
P-doped layer and below this layer. The P was introduced from a supersatu r-
ated chemical deposition system. Plots of P diffusion junction depth and
change in OSF length reduced by Vt are shown in Figure 38 versus
500 Semiconductor Materials
/ TOTAL PHOSPHORUS
ELECTRON PROFILE
T = 1000uC
t = 60 MINS.
M
10 20 POCI3
I
E
~
z
2
~
e:t:
0:::
~
Z
~
U
z
0 10 19
u
10 18 L--_~_~_'-""- ~
phosphorus dose, Qp' These data were extracted from Nishi's work Note
that the doping conditions which create rapid growth of OSF's in the Player
did not enhance the P junction depth. This may be due to P precipitation or
the recombination of generated self-interstitials with vacancies which P
needs to diffuse.
Two recent experiments have yielded considerable support for an
interstitialcy mechanism for P diffusion. Fahey, et a/ 41 have observed that
direct nitridation of Si produces a supersaturation of vacancies such that P
diffusion is substantially reduced below the surface and Sb diffusion is
enhanced. Estimates of the fractional interstitialcy component of P by these
authors are 70-100 0/0. Results are shown in Figure 39.
In another experiment, Nishi, et a/ 51 have observed stacking fault growth
beneath P diffused layers which had surface concentrations below solid
solubility. This experiment provides strong evidence that the point defect
injected by P diffusion is the self-interstitial. No quantitative model exists to
explain this result. However, calculations of the self-interstitial supersaturation,
C/C,o, caused by high concentration P diffusion are shown in Figure 40.
These calculations are based upon measured stacking fault growth beneath
P diffusions.
Diffusion and Ion Implantation 501
(PV) - O(n~
Do<n 2 ELECTRON CONCENTRATION, n
ns -------
I
/ --- --- L CONCENTRATION
----
/ TAIL REGION
/
I D = CONST. x n~ ----...
/ EMITTER DIP
/
/ EFFECT
/
/
~ (V)----..
o
Figure 37: Ideal ized phosphorus profile and vacancy generation model (after
Fair and Tsai). P+V= pairs formed in the surface region dissociate when the elec-
tron concentration drops to n = n e . At th is concentration the Fermi- level coin-
cides with the second acceptor level of V=. The freed vacancies diffuse until they
recombine with p+ atoms in the tail region.
20
(0
15
~
x
10
'$!.
u
CD
~ 5
E
....
----- .. ------
u
t LL
Cf)
0
.:-----
....J
<] -5 C - -
0
6-, -10
x
-15
Qp (cm- 2)
Figure 38: Phosphorus diffusion junction depth and oxidation stacking fault
length change as a function of integrated phosphorus concentration (Nishi,
et al).
10 r---------------------~
o p
~ As
8
X Sb
e 1\
6 T
-~'j;-- - - - -_ ""L
o
~
4 /
/" 1 -r---x-----J
~ L I
v
~I
l ;_-------6-----1'::.------1'::.
- -o~ - - - - - - - - - - -- - --
''-,- ......T
0
\
~
4 -l'--- - ----1--- }i- -- __ 0
0
::{ 6
* ~
0
10
1 10
Time (min)
Figure 39: The effect of direct silicon nitridation on phosphorus, arsenic and
antimony diffusion. The nitridation process creates a supersaturation of vacan-
cies which substantially enhances antimony diffusion, partially enhances arsenic
diffusion, and reduces phosphorus diffusion.
Diffusion and Ion Implantation 503
Anneal Time
(minutes) =
1015
Phosphorus Dose (em -2)
Property Result
B-v+ migration energy is ... 0.6 eV Relatively fast diffuser dominated
by [Vi
Small tetrahedral covalent radius 1. Small diffusion entropy
2. Misfit strain
a. Dislocations
"b. Good gettering
c. Reduced diffusivity
226 eV required to make B Oxidation enhanced diffusion
interstitial
Forms stable oxides - B20 J and HB02 Segregration into growing Si02
from Si
504 Semiconductor Materials
10- 12
g 10'13
10- 14
• ANTONIADIS. etal
10- 15 • WAGNER
• TSAI
a KURTZ & YEE
10- 17 '--------""---'----'----~---'"--.....
6 6.5 7.5
10 4 / T ( K· I )
Figure 41: Boron diffusivities in intrinsic and extrinsic nand p-type silicon.
10
.0
---
.... -- --
• 870C
• 950 C
o 1000'C
0. 1050C
.1 Q 1100C
Ev- = 0.05eV(l000 C) .. 1150'C
o 1250 C
Figure 42: Normalized boron diffusivity vs. p/nj. The solid curve is calculated
with the vacancy donor level at Ev + 0.05 eVe The dashed curve is calculated with
the vacancy donor level at Ev + 0.35 eV.
Diffusion and Ion Implantation 505
10 21
10 20
CN=
2X10 19 cm' 3
5Xl0 19
lXI0 20
10 19 1.5Xl020
~
z
o
;::
et:
~
L.I.J
10 18
• SIMS B DATA
w T = 1050°C
Z
o t = 23 min.
w
10 17
o .1 .2 .3 .4 .5 .6 .7
DEPTH (JAm)
Arsenic Diffusion
For surface concentrations»n i, the diffusivity of As is
2Di C
DCC)= -
Di (63)
(64)
(65)
where
(67)
Use was made of the result obtained by integrating Equation 65 to give the
"dose" of arsenic, Or:
(68)
o
OrDit ]1/3
XJ=2
I
--
I
Dj
1/3
1 2
lIC:21C3XI015 em -2) C2xlO- -t em /sec.) (3600 sec.)
lxl0 19 em- 3
-=O.57xlO-4 em.
Diffusion and Ion Implantation 507
0
~
~
.1
u QT(cm- 2 ) T(GC) t(HR.) AMB.
0 2x 10 15 1200 l2 O2
2/ O2
0 5xlO 15 1200 3
..
t::. 2xl0 1s
2xlO 16
• 5x10 1s
1000
1200
1000
2
2/ J
O2
O2
Nz
• lx10 16 1050 r2 O2
• 1xlO 16 1050 1
.
2 STEAM
• lxlO 16 1050 ~
STEAM
.01
0 .2 .4 .6 .8 1.0
YIY J OR XIX J
Figure 44: Normalized total arsenic profiles of implanted-diffused layers of sili-
con. The exact numerical solutions to the diffusion equation are compared with
the polynomial approximation for two different implant doses.
10 21
o ~
o 0
o
t-
•
U
~.
eTC = l. 9 Or I XJ
10 1
0
t-
U
q o
0 10°
.- I X 101~ cm- 2
E ~-2x 101~
::1..
.., o-5xI01~
X
• - I X 10 16
o-2x10 16
SUBSCRIPT *-STEAM AMBIENT
I0- 1~_-L_-L--.J....-L-.L..-L..~I--_-.L._~-'--~L.-I-~
10- 1 10° 10 1
1/3
Qr OJ t
) (J-Lm)
nj
Figure 46: Time, temperature and dose dependence of the junction depth of
arsenic implants after diffusion.
Diffusion and Ion Implantation 509
(70)
o
(71)
1021
,,
""
" Dt
"
" e
""
O-IOOOOC "'"
t
o ·-1025°C
U
eX b.-I050 0C DIFFUSION
o-II000C TEMR
e- 1200 0C
*- DATA FOR WHICH
CA. SOL < CTO
1019~_~_~.....L.-J..-L.~-L..I.. _ _...L.---.L---L--J.."""""'~
10- 4 10- 3 lQ-2
P = Rs XJ (ohm-em)
Figure 47: Electrically active arsenic surface concentration vs. average layer
resistivity.
510 Semiconductor Materials
10 1
X J AT O. 1 C s (I.un)
Figure 48: Sheet resistance vs. junction depth for implanted arsenic layers dif-
°
fused in N 2 or 2 .
Phosphorus Diffusion
As pointed out earlier, high concentration P diffusion is complicated by
multiple species diffusion mechanisms. Thus, no simple solution exists to
the diffusion equation. However, some useful curves have been generated
for designing ion implanted P layers with a single step anneal.
Q=
2x 10 15
c 3x 10 15
2 ----4xl01~
~ 10ll:......,..;..-l.+--:-r--:-:-:-~ "':';"rl-c."..-_:~':"';':""""'::---:"'f--- •• '+';-_':':- ";"'··---ro-i·,-"-·;-;':'~"-+~!-;-__ +._,_.,-.,,_ ~_.:.~.-.,-;-,-~ 5xl0 15
a:: :.L-,2.,.. _.,4-~ 7xl0 15
~~:4~~rn~~~~~~~;i~~~tD~UW~4~~~~_~:~~~~~2Xl016
1:~~~~-~CI·:;~:;~i:~;;:::;::i·=':~'~: ~ .~. :j.~;-;:'~~::~=:·:i;:::!~~~~~- ~:~ :.. ~~t:J·.:·::.:j·~:t-~~f~:::~-:t1-~~:~1:~::!" :::~~t·~~;~::-r~t-t~jj"~~~~-:-j~~~i~~j::j·.;t::::Ij 3x 10
16 o=+;
-+-
c
en
10 3 10 4 10 5 10 6 10 7 o·
TIME (SEC)(1000'C) ::J
Q)
::J
TIME (SE C){ 1050: C):' . : r.:~:+· :-:::-'!~::~:';:. :L:~::, ...._ ... +. .::::.~j:: i 'r ....
c..
10 2 10 3 10 4 10 5 o
::J
TIME (SEC)(1100:C):· ,.j '.;. ._._~ .... i
3
10 2 10 3 10 4 10 5 "0
c
n;-
TIME (SEC)(1200 C), ::J
----------------------------
10 2 10 3 10 4 10 5
.-+
Q)
.-+
TIME (SEC)(1250°C) _ o·
::J
10 2 10 3 10 4 10 5
C1J
~
Figure 49: Time, temperature and arsenic dose dependence of sheet re~istance (diffusions in N2 or 02). ~
512 Semiconductor Materials
ION IMPLANTATION
0.1 1.0 10 1 10 2
X J AT 10 17 cm- 3 (pm)
Figure 50: Sheet resistance vs. junction depth for implanted phosphorus layers
°
diffused in N2 or 2 -
Diffusion and Ion Implantation 513
01-= 0.53 Cs x J
= 2.6xl0 15As/cm2
Therefore in applications where low predeposition dose is needed (less
than 1015/cm 2), ion implantation has a natural advantage and should be
used over chemical source predepositions. Ion implantation is also useful
at higher doses for dopant control. Masks can be made of any convenient
material used in VLSI fabrication. As a result ion implantation has become
the primary doping source in the following applications:
01
......
~
QT =
~
1xl 014 cm -2 C/)
CD
3
n·
102~:-:J~;L0:,_ . :m' ::<;~m::-_-W:J mjm_:lj~-"~·~·-.~._._:.I 5x 10 14
0
::J
c..
~-~-1,-H-:-:+~:+-~i 1xl0 15 C
()
o --~- _.- ro+
0
~ 2xl0 15
""'"
V\
cr: ~
3x 10 15
Ol
ro+
5xl0 15
CD
7x 10 15
""'"
iii·
_~ ....-.--..- .. -~·-_-··-~·.-.>·:·----=_=r.::~=-~-=~~~i'~ :·.-4-'-·----~·-1
_"""'"""'.-:----.. . ,. - . --~'----,:;_'~-_. -.'.::2·:::~b:=±t-·=-·t=±:--=-1-~·:-·~;~~_i;: j
lxl0 16
en
TIPIVTfUI="RV;";:-I'~-:;:-----;--'- '·:i.~
2X\016
3x10 16
.:....;.-=~~~:.::::-=-=S.2~~:.-:..2~~~..!J~~~L---=fu:Gl~[lili11.rtIill
1
1 .. --,
.... : . : : : : I
1
1 ; ;-
\0 2 103 t 04 10 5
TIME (SEC}(1200 c C) ~L::T:::::T:·~·:-::l':::: '.:;:~.::::::~:: ::::T::::::: :·~;::~::::Tl~_.~:·:-:~::: :f'E:::~=:~::::l:':~:,:~.}-~:;
t 02 \ 03 10 4 10 5
Figure 51: Sheet resistance vs. time, temperature, and dose for phosphorus-implanted, diffused layers in silicon.
Diffusion and Ion Implantation 515
Source m·
C;;
Deff
Pump
Ion Wafer
Source Beam Line & Feeder
End Station
Diffusion Pumps
Gas
Source
Figure 52: A schematic diagram of a typical commercial ion implant system. (After Varian-Extrion, DF-3000 brochure.)
Diffusion and Ion Implantation 517
The total specific energy loss is taken to be the sum of two separable
components - nuclear and electronic:
where the units of dE/dx are eV/cm, and Sn(E) is the nuclear stopping
power( eV cm 2 ), Se(E) is the electronic stoppi ng power (eV cm 2 ), and N is the
target atom density which is 5X 1 Q22/ cm 3 for silicon. The variation of these
two components is shown schematically in Figure 53. It can be seen that
both increase with energy, reach a maximum, and then decrease again. At
the lowest energies nuclear stopping dominates and is responsible for
most of the angular dispersion of an ion beam. At higher energies electronic
collisions are the most important, and in slowing down to rest from these
energies the bulk of the particle energy is dissipated in the form of
electronic, rather than nuclear motion.
Reference coordinates for the important ion implantation parameters
are shown in Figure 54. The projected range, Rp ' is derived from the total
range of the incident ions. The total range can be calculated from Equation
73 if Sn and Se are known. Thus, rearranging terms in Equation 73 and
integrating gives Equation 74.
R 1 Eo dE
R=J dx=-J
o N 0 ISn(E) + Se(E)] (74)
Note that R will be the average total range and we would expect a
distribution of R, Rp and the transfer straggle Rr Such typical distributions
are shown in Figure 55 in which the depth distribution of implanted ions in
an amorphous target are shown for two cases-first relating to when the
incoming ion has a mass smaller than the target, and the second-
referring to the case where the incoming ion has a mass greater than the
X Electronic
"C
-...
W
"C Nuclear
Ion Velocity v
Figure 53: Behavior of the nuclear and electronic contributions to the specific
energy loss d E/dx as a function of ion velocity B.
518 Semiconductor Materials
Incident
Ion Beam
~~~~~~IIf""Ir""'I~~~~~-""~~~~~~~~ ,-Target
Surface
~~'''ll'_ll.''''';a..;l~~ -/ I~~lro~""~~'~~~."~
1\
xl
Y /
/ I \
/ t \
/ I \ Projected
, t ~ Range
t~peak
Standard
Deviation
of Projected _----11"--_
Range of Concentration
I Profile
I~ I ~I
Transverse
Straggle
Figure 54: Reference coordinates for the important ion implantation parameters.
Ion E Substrate
Beam • •
Z" M,
c:
o
.~
C'O
~
~
c:
~
c:
o
U
Depth Depth
Figure 55: The depth distribution of implanted atoms in an amorphous target
for the case in which the ion mass is less than or greater than the mass of the
substrate atoms.
Diffusion and Ion Implantation 519
target atom mass. In general, the lighterthe ion relative to the su bstrate the
broader the distribution of implanted impurities will be. Thus:
LightIons Heavylons
~ Rp fl Rp
- - is large - - is small
Rp Rp
Nuclear Stopping
The specific energy loss due to collisions of the ion and a target
nucleus is derived by considering these as independent elastic two body
interactions. The energy transferred during a two body scattering process
depends upon the interaction potential between the two particles. Assuming
a Born-Mayer interaction potential it can be shown that a useful approxi-
mation for the nuclear stopping function is 64
(75)
Electronic Stopping
When moving at velocities greaterthan the K shell electron velocity, an
ion will have a high probability of being fully stripped of its electrons. The
theory of energy loss under these circu mstances derives from the work of
Bore who carried out classical calcu lations. The electron ic stoppi ng function,
therefore, is dependent upon the velocity of the atom simply expressed
as 64
(77)
where v is the velocity of the ion, C 1 and K are constants. K depends on both
the ion and the substrate as shown in Equation 78.
(78)
(79)
47TEoaM 2
CE =----- (80)
ZtZ2q2(Ml +M 2)
520 Semiconductor Materials
Critical Energy
.
Note that in the above equations, Sn is independent of energy and S e
Increases with energy. There does exist, therefore, a critical energy at which
these two functions are equal:
-.fEe= Sn/K
14Z M
= 14 - - - -1 - - - -1 - (81)
[(14)2/3 + Z12/3]1/2 M1 + 28
dE
- = NS n•
dx
dE 1
12
- = NKE •
dx
Projected Range
The discussion thus far has dealt with the total pathlength of the ion
implanted into the substrate, called the range R. A typical ion stops at a
distance normal to the surface, called the projected range, Rp . Statistically
speaking other ions encounterfewer scattering events in a given distance
in the target and come to rest beyond the projected range. Some ions that
may have more than the average number of scattering events come to rest
between the surface of the su bstrate and the projected range. The fluctuation
or straggle in the projected range is dR . The relationship between Rand
Rp is shown in Figure 56. The statistical clistribution of implanted impurities
with Rp and dR p were shown in Figure 55. The relationship that exists
between Rand Rn is shown in Equation 86 where b = 1/3 for nuclear
stopping and M 1 > M 2 Le. forantimonyand arsenic. Thevalue of b issmaller
for electronic stopping (B,P) but 1/3 is still a reasonable approximation to
first order.
f
Target
Surface
Figure 56: Relationship between total range, R, and the projected range, Rpe
522 Semiconductor Materials
(87)
Qr
cMAX-
-2.5ARp
--
(88)
I
(X-RP)2j
Ox) = C MAX exp - - -
2ARp2
1
O:x) = - CMAX @x=Rp±2ARp (90)
10
1
C(x) = - CMAX @x=Rp:t:3ARp
100
5
C(x) = 10- CMAX @x=Rp:t:4.8ARp
Implantation Masking
The goal of masking ion implantation is to allow the doping of the
substrate to occur in selected areas of the wafer. The ability of a given
Diffusion and Ion Implantation 523
1.0r---------------------~---~
en
c:
eu
iQ)
cr.Q. ~ .1
cr.
"'0
~
u
Q)
'0
et
100 1000
Energy (keV)
material to act as a mask depends upon the ion stopping power of the
material as well as the thickness of the material. Three commonly used
masks in integrated circuit technology are Si 3 N4 , Si0 2 , and photoresist.
The minimum masking thickness of each of these materials is shown in
Figure 59 as a function of ion energyforthree dopants. 64 Forexample, 100
keV boron implantation requires a silicon nitride mask thickness of at least
0.4 microns, an Si0 2 thickness of at least 0.55 microns, and a minimum
photoresist thickness of 0.7 microns. One problem in using photoresist as
a mask is that at dosesgreaterthan 1015/cm 2 , the resist mask may become
difficult to etch. The chemical changes that the bombardment creates can
depolymerize the resist, causing swelling and thickness changes by up to
40%.
In order to understand the sensitivity of mask thickness on the percent
of ions that may penetrate that mask, reference is made to Figure 60. 66
Here, photoresist thickness is plotted as a function of ion implantation
energy in a family of curves showing the percent ion penetration through
the mask as a parameter. Increasing the mask thickness from 0.45 microns
524 Semiconductor Materials
1.0r---------------~
.1
c:
o
Ic:
o
":;
r:r:.o.~
~ )
"0
'0
et .01
10 100 1000
Energy (keV)
to 0.6 microns can reduce the ion penetration percentage from 100/0 to
0.01 0/0 at 100 keV.
Energetic ions penetrating masks can "knock" atoms of the mask into
the underlying silicon. Thus, the role of the mask in the ion implantation
process is not necessarily a neutral one. This knock-on effect may nucleate
damage in the silicon. An example for phosphorus into Si 3 N4 is shown in
Figure 61. Concentration profiles of implanted phosphorus and recoiled
nirtogen in silicon are shown as a function of the thickness of the Si 3 N4
mask. It can be seen that the measured nitrogen profiles form an exponential
d,istribution whose surface concentration is approximately 1X 1020 atoms/
cm 3 . This concentration is many times above the solid solubility of nitrogen
in silicon at high temperatures. Thus the excess nitrogen has the potential
for nucleating damage which may grow during high temperature annealing.
Another example of knock-on damage caused by implantation through a
masking layer is shown in Figure 62. This shows a schematic diagram of
oxygen recoil damage caused by implantation through an Si0 2 layer. 57
Areas where recoil damage can occur are at tapers in the Si0 2 edges and
where the oxide is very thin. In both cases recoiled oxygen can penetrate
Diffusion and Ion Implantation 525
(a)
~M~Sk I
L J
I
'.0 (b)
Phosphorus
Boron
.0,.L--_....L.-_~~--&....1-_.....&-_--L ...............--'
10 100 1000
Energy (keV)
ell
c:
E 2.0 tj
.3 (c) :.c
l-
NO.'
ell 1.0 o
c:
tj Cii
:.c E
:;,
l-
E
cc 'c
u.
I- ~
~
E
:;,
E
'c
~
0.11------~---I..---------I .0 '1L..---.J.-&.-L...-JI--&-..a...4..L..I,u.---..'---..Io--......-_....""":"000
10 '00 1000 0 00 1
Energy (keV) Energy (keV)
Figure 59: Minimum masking material thicknesses for the ion implantation of
boron, phosphorus and arsenic.
0.01%
1.0
o. 1 1--_---L._......L---J,.---li....---+._.L--..L.-..L.-L.-1.......L-_ _L..----.1._...L-...L-_..L.-~
.....................1_'__&
10 100 1000
Energy (keV)
6 480 A Si 3 N 4
• 650 A
x 1200 A
20
10 ---
-)(.
-
6_X.
-- .lC..
6-
-fIiI..
]. 10 19 _
-
6-./
c:
o -
6_
)( 6
-
I
u
dY N
-
I:!.
I:!.
10 17 1.--_-L'_----L'_-JIl--_l--'_..1-'_-l-'_---J
0.1 0.2 0.3 0.4 0.5 0.6
Depth (J.i.m)
• Implanted Atom
o Oxygen Recoil Atom
Thick
Oxide
Thin
Oxide
o
) LD Silicon
Damage
Ion Channeling
Until about 1960 it was tacitly assumed that ion penetration in crystal-
line substrates would not differ substantially from that in amorphous
solids, and there was little or no experimental evidence to suggest that the
regular structure of a crystal lattice was significant in atomic stopping. It is
now known that low-index crystal axes or planes present large open or
transparent avenues for the incident ions, and fewer atoms are exposed to
the bombarding particles, resulting in the reduction of the number of
atomic collisions 64 . An example of this effect is shown in Figure 63 where
views are presented of the <11 0> direction (open channels) vs. a random
direction viewed at 10° from the <110> direction. Thus, if an ion beam is
aligned along the <110> direction one would expect a much deeper
(110)
Figure 63: Atomic configuration in the diamond-type lattice (a) along the <110>
direction, and (b) viewed along a "random" direction at 10° from the <110>
direction.
528 Semiconductor Materials
penetration by that ion. Once the ion is inserted into a channel, the atomic
potentials become operative and steer the ion towards the center of the
open space or channel. The ion can be guided along the channel over
considerable distances. Examples of channeling are shown in Figure 64
for potassium implanted into tungsten in the <111> direction and for
phosphorus implanted into silicon in various orientations of the crystal off
the <110> direction. In Figure 64a the portion of the curve labeled A
represents the region of the crystal where the implanted ions strike
surface atoms and no channeling occurs. Region B is where partial chan-
neling and Region C is where full chaneling occurs. Channeling is purposely
avoided in most devices because it is difficult to accurately control. Thus
implants are usually performed with the wafer tilted to get random "amorph-
ous" like profiles. As an example, a 7° tilt off the <110> axis allows more
than 99% of the ions to be stopped, as if the silicon were amorphous.
I' 1-
C=Cpeak exp
I
--<X-RP)2j
2~Rp2 +Ctail exp -K(x-XtaiI)em
3
(91)
(a)
42 K into Tungsten
(111) direction
" Amorphous"
Range
!
A = no channeling
(strike surface atoms)
B = partial channel ing
C = full channeling
Depth
(b)
-c
Q)
~ 10- 1
E
o
..s
c:
o
.~
~
.....
c:
Q)
u
c:
o
U
a..
10- 3
Depth
Figure 64: Examples of ion channeling for (a) potassium implanted in a tung-
sten in a <111> direction and (b) phosphorus implanted into silicon in various
orientations of the crystal off the <110> direction.
530 Semiconductor Materials
Xtail, Ctail and K, and is assumed to describe the impurity profile of the
"tail" region.7 4 ,76-79 Figure 65 illustrates the method by which the estimated
values of key parameters which characterize the Gaussian and exponential
distributions of ion implanted profiles were extracted from published
figures.
The ranges of implanted ions vary sublinearly or linearly with implant
energy as shown in Figure 58. Xtail is the depth at which the channeling tail
is estimated to begin. Figures 66a and 66b are plots of the extracted Xtail
value as a function of implant energy for Band P respectively. Empirical
expressions were derived from the data and are shown drawn in the figu res
as Xtail.
Ctail is the parameter corresponding to the concentration of implanted
ions at the beginning of the tail. The normalizing ratio Ctail/Cpeak isshown
as a function of implant dose orenergy in Figures67a and 67bfor Band P.
This ratio is an indicator of the occurrence of chanelling. As channeling
occurs, Ctail approaches Cpeak. Therefore, the normalizing ratio Ctail/
Cpeak will increase. The figures indicate that an increase in dose or
increase in energy decreases the ratio Ctail/Cpeak. This agrees with
theory since crystal damage is directly proportional to the number of ions
implanted. Channeling will be minimized if the damage is sufficient for the
substrate to become amorphous. Thus, more channeling is likely at lower
doses and Ctail can approach Cpeak. With heavy ions, such asAs, the dose
to amorphize the substrate at room temperature, is approximately 2x1 0 14
ions/cm 2 . Higher doses are necessary for lighter ions such as boron and
phosphorus.
Gaussian
Cpeakt----",.._.
C peak/2.35 C t---7''---+-t-\.
tai1
Log C(x)
Concentration
o Rp DoR
/ "-X X
p tail
Depth
1.0
Boron Xtail
0.8
0.6
o
Xtail (em x 1E-4)
0.4
o
o
0.2
o L-----l"-----L_---A-_--I-_~_--J
1.0
0.8
Phosphorus Xtail
0.6
Xtail (em x 1E-4)
0.4 o
o
o
0.2
O'--_L.----J,_---'-_---+.._.......L-_ _
o 100 200 300
Energy (keV)
Figure 66: Xtail vs. energy for (a) boron implants and (b) phosphorus implants
in <100> silicon.
The B data was not sufficiently regular to fit an equation. The average
values of Ctail/Cpeak are shown for the dose ranges indicated in the
figure. Again, for low dose ranges, Ctail/Cpeak is large indicating occurrence
of ions entering open channels.
Figure 67b shows the estimated curve of Ctail/Cpeak versus log dose
for P for a dose 2:: of 1x1 Q14 c m- 2 . Since data were unavailable for implant
doses < 1x1 Q14 cm -2, a constant value was used for Ctail/Cpeak in the
modeling programs. The data substantiate the relationship that Ctail/Cpeak
decreases as dose increases.
A large value of the exponential slope function, K, corresponds to a
steep concentration gradient in the tail region, indicative of less channeling.
Figure 68 is an illustration of the effect of an increasing slope value on the
concentration profile.
532 Semiconductor Materials
0.8
I::.. Boron <100> & <111>
0.7
I::..
0.6 Dose < 2E13 0
~
0
0.5 0
Ctail/Cpeak 0.4 II
0.2 0
0.3 0
Phosphorus <111 >
0.2 0
0
Ctail/Cpeak
0.1
0'-----£-----I...------J1-..---~L-----1
12 13 14 15 16 17
Log Dose
Log Concentration
K2
o Depth (cm)
an ion has entered a channel the penetration is deeperfor ions with higher
energies. This corresponds to a smaller K value as energy increases.
Figures 69a and 69b relate the slope function versus implant energy
for As and B implanted ions, respectively. Figure 69a shows that for As ions
implanted into<1 00> Si, the Kvalue is more energydependentthanfor As
ions implanted into < 111> Si. The critical angle for channeling of 50 keV As
ion~ into <111 >'Si is4.4° and l/J c for< 100> Si is4.0°.7 2 The critical angle is a
measure of the steering action of the atoms that comprise the walls of the
channel. It is less likely for an ion in a channel in < 111> Si to leave than an
ion in a channel in <100>. The ability of an ion to remain in the <100>
channel at low implant energies is not as good as an ion in the <111 >
channel. Figure 69a shows calculated curves for K slope versus energy
which represent the best fit to the data for As <100> and As <111>
implants. In the case of the As <111> implants the best fit is a constant
value for K.
Figure 69b is a graph of K values calculated from B profiles as a
function of energy. The increase in K for B implants into < 100> Si fordoses
greater than 5x1 0 13 ions/cm 2 is a result of increased crystal damage and
dechanneling with increasing dose for a given implant energy. The critical
angle for channeling of a 50 keV B ions into <100> Si is 2.9° and l/Jc for
< 111 > Si is 3.2°.7 2 It can be seen that the K value is smaller for the < 111 >
Si and less dependent on implant energy than the <100> Si for implant
dose> 5x1 0 13 .
Curve fitting model parameters extracted from the experimental data
are summarized in Table 9 for B, P and As and in Table 10 for BF2 . Based
upon these parameters, representative profiles were calculated using
simple computer programs which now reside in the process simulation
program PREDICT. The calculated profiles using Equation 91 and a multi-
plicative smoothing function were compared with experimental profiles.
The smoothing function is an inverse exponential function used to join the
534 Semiconductor Materials
80 ~ Arsenic <100>
70
o Arsenic <111 >
60
K Slope 50
As<100>
(1/cm x 1E4) 40 ~~
0 ~
~
~As<111>
30
B
20
4
~--=: ~
(1/cm x 1E4)
10
B<100> Dose < 5E13
0'------.1----------'
o 100 200 300
Energy (keV)
Figure 69: K vs. energy for (a) arsenic implants into <100> and <111> crystal-
line silicon, and (b) boron implants into <100> and <111> crystalline silicon.
Parameter EQuations
B p As
7.24X10-7EO.783 (E<20)
01
SXl0SE-O.222(00r->SXl013. < 111» c.v
01
536 Semiconductor Materials
le+20
le+19
Arsenic <100>
M
I le+18 100 keV, 7E15 Dose
o
E
~ le+17
o
~ le+16
'-
'E
~ le+15
r:::
o
U le+14
le+13 '---~-_&--~-~
o 0.1 0.2 0.3 0.4
Depth (,tm)
le+21
;)' le+20
I
E le+19 Arsenic <100>
o
---.2 le+18 100 keV, 7E14 Dose
~ le+17
E
Q)
o le+16
t:
o
U le+15
le+14
Figure 70: Examples of calculated profiles and extracted experimental data for
arnesic-implanted silicon.
Diffusion and Ion Implantation 537
30 TA = 850°C
~ 50 t = 30 minutes
~i
p~ ,ao 150
12 2
{q, 0.7-2x10 /cm J
Ti-p.,..p +
~ , ! 200
\ ,r\~,
\
300 keV
\
M".. . \
I
E
~
Ol
c::
'0. 10 16
o
C
10 15 ~~~_"-----"---4-_"---&---4-_"---~--..L.-----"
o 0.2 0.4 0.6 0.8 1.0 1,2
Distance X (j-tm)
Figure 71: Example of modeled profile and extracted experimental data for
boron implants into crystalline silicon.
21
20
18
Log N
17
16
15
0~-~-:-----L.. _ _..I.-_-~--O....&..-5--
Figure 72: Example of modeled profile and extracted experimental data for
boron implanted at various orientations in crystalline silicon. Boron implant was
10 1 5 cm"""2, 45 ke V .
538 Semiconductor Materials
REFERENCES
1. P. G. Schewman, Diffusion in Solids, McGraw-H ill, New York, 1963.
2. J. Crank, Mathematics of Diffusion, Oxford University Press, London, 1956.
3. G.D. Watkins, in: Radiation Damage in Semiconductors, Dunod, Paris, 1964, p. 97.
4. G.D. Watkins, in: Radiation Damage and Defects in Semiconductors, Institute of
Physics, Bristol, 1973, p. 228.
5. J.A. Van Vechten, in: Lattice Defects in Semiconductors, Institue of Physics,
Bristol, 1975, p. 1.
6. G.D. Watkins, in: Lattice Defects in Semiconductors, 1974, Institute of Physics,
Bristol, 1975, p. 1.
7. J.A. Naber, C.E. Mall and R.E. Leadon, in: Radiation Damage and Defects in
Semiconductors, Institute of Physics, Bristol, 1973, p. 26.
8. L. Elstner and W. Kamprath, Phys. Stat. Sol., 541 (1967)
9. D. Shaw, Phys. Stat. Sol. (B), 72:11 (1975).
10. J. A. Van Vechten, Phys. Rev., B17:3197 (1978).
11. C. Weigel, D. Peak, J.W. Corbett, G.D. Watkins, R.P. Messmer: Phys. Rev. B.,
8:2906 (1973).
12. R.B. Fair, J.C.C. Tsai: J. Electrochem. Soc., 124:1107 (1977)
13. R.B. Fair: Impurity Doping Processes in Silicon, edited by F.F.Y. Wang, North-
Holland Press, Amsterdam, 1981.
14. J.A. Van Vechten, C.D. Thurmond, Phys. Rev., 14:3551 (1976).
15. J.A. Van Vechten: Lattice Defects Semicond., 1975, 1:(1974).
16. J.C. Phillips, J.A. Van Vechten,: Phys. Rev. Lett., 30:220 (1973).
17. M.L. Swanson, J.A. Davies, A.F. Quenneville, F.W. Saris, L.W. Wiggers, Radiation
Effects, 35:51 (1978).
18. S. Dannefaer, G. Hogg and D. Kerr, to be published.
19. A. Seeger, W. Frank, Conf. Ser.-Inst. Phys., 16:262 (1973).
20. J. Chicawa, S. Shirai, J. Cryst. Growth, 39:328 (1977).
21. H. Strunk, U. Gosele, B.O. Kolbesen, Appl. Phys. Lett., 34:530 (1979).
22. R.B. Fair, J.C.C. Tsai, D.G. Schimmel and W. Maszara, to be published.
23. S.T. Pantelides, R. Car, P.J. Kelly, and A. Oshryama, Amer. Phys. Soc. Meeting,
Detroit, March, 1984.
24. R.O. Simmons, R.W. Ballufi, Phys. Rev., 125:862 (1962).
25. L.C. Kimberling, D. V. Lang, Conf. Sera - Inst. Phys., 23:589 (1975).
Diffusion and Ion Implantation 539
26. G.D. Watkins, J.R. Troxell, A.P. Chatterjee, Cont. Ser. -Inst. Phys., 46:16 (1979).
27. A. Seeger, W. Frank, U. Gosele, Cont. Ser. -Inst. Phys., 46:148 (1979).
29. P.M. Petroff, A.J. R. deKock, Cryst. Growth, 30: 117 (1975).
30. U. Gosele, H. Strunk, ApI. Phys., 20:265 (1979).
31. S. Mizuo, H. Higuchi, Japan, pn. J. Appl. Phys., 20:39 (1981).
32. D.A. Antoniadis, I. Moskowitz, J. Appl. Phys., 53:9214 (1982).
33. T.Y. Tan, U. Gosele, Appl. Phys. Lett., 40:616 (1982).
34. G.D. Watkins, Effects Des Rayonnements Sur Les Semiconductors, Dunod,
Paris, 1965.
35. A. Seeger, K.P. Chik, Phys. Status Solidi, 29:455 (1968).
36. S.M. Hu, J. Appl. Phys., 45:1567 (1974).
37. R.B. Fair, J. Appl. Phys., 51 :5828 (1980).
38. U. Gosele, T.Y. Tan, Defects in Semiconductors II, edited by S. Mahajan and J.W.
Corbett, North-Holland Press, Amsterdam, 1983.
39. S. Matsumoto, Y. Ishikawa, T. Niimi, J. Appl. Phys., 1983, to be published.
40. D. Mathiot and J.C. Pfister, J. Appl. Phys., 55:3518 (1984).
41. P. Fahey, G. Barbuscia, M. Moslehi and R.W. Dutton, Appl. Phys. Lett., 46:784
(1985).
42. S. Mizuo, H. Higuchi, Japan. pn. J. Appl. Phys., 20:791 (1981).
43. S. Mizuo, H. Higuchi, Japan. pn. J. Appl. Phys., 21 :281 (1982).
44. K. Taniguchi, K. Kurosawa, M. Kashiwagi, J. Electrochem. Soc. 127: 2243 (1 9aO).
45. R.B. Fair, J. Electrochem. Soc. 128:1360 (1981).
46. H. Shiraki, Japan. pn. J. Appl. Phys. 15: 1 (1976).
47. Y. Nabeta, T. Uno, S. Kubo, H. Tsukamoto,J. Electrochem. Soc. 123: 1416 (1976).
48. L. Kalinowski, R. Sequin, Appl. Phys. Lett. 35:211 (1979)
49. G. Hettich, H. Mehrer, K. Maier, Int. Cont. Defects Radiat. Eff. Semicond. 500
(1978).
50. G.D. Watkins, J.W. Corbett, Phys. Rev. A, 134: 1359 (1964).
51. D. Shaw, Phys. Status Solidi B. 72:11 (1975).
52. R.B. Fair, Semiconductor Silicon 1977, edited by H.R. Huff and E. Sirtl, The
Electrochem. Soc., 1978.
53. R. B. Fair, Impurity Diffusion and Oxidation of Silicon, edited by D. Kahng,
Academic Press, New York, 1981.
54. S.M. Hu, Phys. Status Solidi B, 60:595 (1973).
55. F. Seitz, Acta Crystallogr. 3:355 (1950).
56. P. Baruch, J. Monnier, B. Blanchard, Castaing, C., Appl. Phys. Lett. 26:77 (1975).
57. R.B. Fair, G.R. Weber, J. Appl. Phys. 44:273 (1973).
58. E. Guerrero, H. Potzl, R. Tielert, M. Grassenbauer, G. Stingeden,J. Electrochem.
Soc. 129: 1826 (1982).
59. P. Fahey, R.W. Dutton and S.M. Hu, Appl. Phys. Lett. 44:777 (1984).
60. R.M. Harris and D.A. Antoniadis, Appl. Phys. Lett. 43:937 (1983).
61. K. Nishi and D.A. Antoniadis, unpublished.
62. R.B. Fair, P.N. Pappas, J. Electrochem. Soc. 122:1241 (1975).
63. After Varian-Extrion, DF-3000 brochure.
64. G. Dearnaley, J.H. Freeman, R.S. Nelson and J. Stephen, Ion Implantation, North
Holland Pub!. Co., Amsterdam (1973).
65. J. Lindhard, M. Scharff and H. Schiott, Mat.-Fys. Med. Dan. Vid. Selsk 33:1 (1963).
66. G. Baccarani and K.A. Pickar (unpublished 1970).
67. R.A. Moline, Ion Implantation in Semiconductors Eds. F. Chernow, J.A. Borders
and O.K. Brice (Plenum Press, New York, 1976) p. 319.
68. T. Hirao, K. Inoue, Y. Yalgashi and S. Takayanagi, Japan J. Appl. Phys. 18,647
(1979).
69. S.M. Sze, Physics ot Semiconductor Devices, 2nd Edition, (Wiley), (1981).
70. G. Carter and W.A. Grant, Ion Implantation of Semiconductors, (Edward Arnold),
(1976).
540 Semiconductor Materials
71. P.O. Townsend, J.C. Kelly and N.E. W. Hartley, Ion Implantation Sputtering and
their Application, (Academic Press), (1976).
72. J.F. Gibbons, Proceedings ot the IEEE 56:295 (1968).
73. W.K. Chu, et aI., Semiconductor Research Annual Report, Research Triangle
Park, North Carolina, (1983).
74. R.G. Wilson, J. Appl. Phys. 54:6879, (1983).
75. W. Maszara, C. Carter, O.K. Sadana, J. Liu, V. Ozgus, J. Wortman and G.A.
Rozgonyi, 1983, Mat. Res. Soc. Symp. on Energy Beam-Solid Interactions
and Transactions and Transient Thermal Processing (Eds. N. Johnson and
J.C.C. Fan), (1984).
76. PREDICT, Microelectronics Center of North Carolina, Research Triangle Park,
North Carolina, (1984).
77. Charles P. Ho, S.E. Hansen, Technical Report No. SEL 83-001, Stanford Elec-
tronics Laboratories, 1983.
78. H. Hofker, Philips Research Report, SUppa #8, (1975).
79. F.N. Schwettmann, Appl. Phys. Lett. 22:570, (1973).
80. T.E. Seidel, in Sec. Inter. Cont. on Ion Implant. I. Ruge and J. Graul, eds. (Springer-
Verlag, Berlin), 1972.
81. O.K. Sadana, J. Washburn and C.W. Magee, J. Appl. Phys. 54:3479, (1983).
8
Microlithography for VLSI
R. Fabian Pease
Stanford University
Stanford, California
INTRODUCTION
The high resolution patterning of material for the fabrication of VLSI
circuits is one of the key pacing technologies in the evolution of micro-
electronics. Feature sizes are now approaching the wavelength of light
while the patterned area extends up to 1 cm 2 for a single chip and up to 150
cm 2 over a wafer. Thus, the pattern complexity is equivalent to ten thousand
television images. However, these patterns are required to be defect free,
with feature size control of about 0.25 um. To make acomplete circuit, up to
a dozen such patterns must be overlaid with an accuracy of 0.25 um.
Projections of scaling of integrated circuit devices indicate that dimensions
may shrink by another factor of four.
For most VLSI circuits making jLJst one such pattern calls for many
steps. First a mask, a pattern of chromium on a glass substrate, is formed,
usually by electron beam lithography. After etching the pattern in the
chromium film, the mask image is projected onto a resist-coated wafer.
Following development of the resist, the pattern is transferred into the
underlying circuit material. By"lithography" we mean the generation of the
pattern in the resist. Transfering the pattern from the resist to the circuit
material is usually done by etching which is described in other chapters.
Thus, two lithographic steps are required to generate each level on the
wafer, the first to generate the mask pattern and the second the wafer
pattern.
Each lithographic step has several processes;
( i) Spin coating the workpiece with a thin (0.1-2 um) film of resist
541
542 Semiconductor Materials
Both negative and positive electron beam resist are widely used for
mask ma~ing. Because the image is built up by scanning a focused beam
sequentially over each address, the process is slow. Therefore, there is
considerable emphasis on resist sensitivity which is usually, unfortunately,
quantified as the dose in C/cm 2 required to bring about the desired
chemical change.
Negative resists are long chain polymers containing groups which, on
electron bombardment, form crosslinks between adjacent chains. 1 Such
groups include:
Those portions of the film that are crosslinked are insoluble in a suitably
chosen developer. Typical required doses range from 10-7 to 10- 5 C/cm 2 for
1a keV electrons.
Positive electron resists are also long-chain polymers. However, positive
resists contain groups which, on electron bombardment, cause chain
scission and hence locally reduced molecular weight. The exposed regions
are now selectively soluble in a suitably chosen developer. Examples of
such materials are poly (methyl methacrylate) (PM MA)2 and poly(butene-1
sulfone) (PBS)3. The chain scission process also results in gas evolution
which may also promote the selective solubility of the exposed regions.
Microlithography for VLSI 543
Typical required doses are somewhat higher than those for negative
acting resist and range from 10-6 to 10-4 C/cm 2 for 10 keV electrons.
The resists as purchased are solutions of the above polymers in
volatile solvents. A few ml. of the solution are dispensed onto the center of
thewaferwhich is spun at a pre-determined rate while the solvent evaporates
to leave a polymer film of predictable thickness. The theory of the spinning
action is quite complicated because some material is lost through cen-
trifugal action and the viscosity of the remaining material changes during
evaporation of the solvent. 4 Often the thickness, t, of the remaining film is
given by the expression:
t + ks 2 /1W
BEAM BLANKING
LASER
BEAM DEFLECTION
INTERFEROMETER
------- -----,
~
I
I
,I
I I
I I
I .. --J
I I
I
I
I
I I
Figure 1: (a) Writing strategy used in Bell Laboratories Electron Beam Exposure
System "EBES": a single stripe of the circuit pattern is read out repeatedly from
memory then is written on to each chip site. (b) Schematic view of EBES. The
feedback system employs beam deflection to compensate for table position errors
(After D.R. Herriott et al. s ).
Microlithography for VLSI 545
~ ~- ELECTRON LENS
I I· BLANKING ELECTRODES
~ DEFLECTION COILS
APERTURE
BEAM OF SEMI-ANGLE
'- OF CONVERGENCE a
The electrons entering the resist film not only bring about the chemical
effects alluded to earlier, but are also scattered by the film and substrate so
that the lateral extent of the interaction can exceed the diameter of the
impinging beam. This effect is known as the proximity effect and has been
the subject of large numbers of papers. The effect lends itself well to
546 Semiconductor Materials
ELECTRON-ELECTRON
INTERACTIONS
1= 1fLA
CHROMATIC
DISK DIA
de: Cc T~E a
E
c
c:
'E
"'0
CATHODE
CURRENT
DENSITY
FOR I : 10 nA
a (rad)
Figure 3: Contribution to focused electron beam diameter as a function of semi
angle of convergence a. Often the total beam diameter is estimated by adding in
quadrature the contributing diameters. The electron energy, E, is 10 keV with
energy spread ~E = 3 eV, chromatic aberration coefficient 4 em, spherical aber-
2
ration coefficient Cs = 10 ems, cathode current density 10 A/cm , and column
length 66 ems.
r2
J(r) + J(o) exp (- ---2)
rp
where J r is the current density at radius r, and rp = 0.2 p,m ± 0.1 p,m forthe
case depicted in Figure 4a. At higher voltages two gaussian terms are
needed for an adequate description.
The chemical effect of electron bombardment has already been des-
cribed as generating crosslinks between adjacent polymer chains to
create an insoluble gel. We can invoke a simple model to describe quanti-
tatively the resist's behavior in terms of the parameters, sensitivity and
contrast.
The starting point of the model is to assume the following:
x- pm X - - pm
2 0 2 3 2 0 1 2 3
0
PMMA
Si
E
::t
2 2
N
3 3
4 4
(a) 10 keV (b) 20 keV
For very low exposures, only isolated pairs of molecules are crosslin ked
together. On development, these pairs per se may not be dissolved, but all
the surrounding uncrosslinked material is dissolved and washed away so
that no resist remains after development. At some critical dose enough
chains are crosslinked together so that a skeletal gel is formed which
remains after the developing solvent has leeched out the uncrosslinked
polymer chains. Beyond this exposure level, we assume that each crosslink
that bonds a previously uncrossl inked molecu Ie contributes that molecu Ie
to the gel. The fractional thickness, Tn' of resist remaining after develop-
ment is equal to the fraction of (identically sized) molecules, each with the
same volume, Vm' that have at least 1 crosslink to neighboring molecules. If
Nc is the mean local concentration of crosslinks then the mean number of
crosslinks per volume Vm is NcVm. The fraction of molecules with no
crosslink to neighboring molecules is, from the Poisson distribution, exp
(-VmN c). Therefore, the local fractional thickness remaining is
Tn + 1 - exp(-VmNc)
for doses in excess of the critical dose and
(/)
(/) 1.0 ,.._---1
w '-
z
~
u 0.8
:r o
.... o
~ 0.6 o
-....J ....c o
u.. o
....J 0.4
~
z
0
.... 0.2
u
<
0:
U.
10- 7 10- 6
2
EXPOSURE (C-cm- )
O.7xl.6xlO- 19
Q ... V Ag C/cm 2
m
This looks quite reasonable since when the required dose is reduced for
largerV m' a film made of larger molecules requires a smaller concentration
of crosslinks to bond them all together. Also, at large values of A the energy
dissipated per incident electron per unit depth, and g, the number of
crosslinks per eV dissipated will also tend to lower Q.
The other parameter is the contrast, or gamma, which is a measure of
the minimum ratio of exposures needed to bring about a required difference
in Tn (often a to 50 0/0). If we approximate the curve if Figure 5 as three
straight line segments (shown dotted) then the slope of the central portion
is the contrast (y) defined 'as dTn/d(log 1o Q). If this slope is equal to the
maximum slope of the solid curve then we can quantify y as
1
+ elog e + 0.85
10
10t OOO 8
whence A + -4 + 10 eV/cm/electron
3xO.4xlO
We must now expose the resist on the wafer in accordance with the
mask pattern. The simplest way of accomplishing this is by contact printing
or proximity printing. The formertechnique allows excellent resolution but
is prone to defects especially when many contacts are needed to assure
accurate alignment. Thus, contact printing is not in widespread use for
01
01
I\)
(j)
co
3
n°
o
:J
a.
c
()
0"
~
s:
~
.-+
CD
~
~
(J)
a b
Figure 6: SEM photograph of PCMS negative electron resist pattern (a) exposed with a 0.5 J.1m diameter electron beam, (b)
exposed with a 0.1 J.1m diameter electron beam (courtesy of H.S. Choong).
Microlithography for VLSI 553
c
....
<.:)
z
z
«
:2
w
a:
en
en
w
Z
~
U
J:
....
....J 0.5
«
z
o
i=
u
«
e: 10-8
EXPOSURE - C/cm 2
Figure 9: Two views of chromium masks made with electron beam exposure of
PBS resist (photographs courtesy of D.H. Dameron and J.P. Ballantyne).
Microlithography for VLSI 555
ms
Figure 10: Schematic view of doubly reflecting optics used in scanning pro-
jection printing.
556 Semiconductor Materials
because the image of the mask pattern occupies only a small portion (1 x 1
cm 2 ) of the wafer area and the whole area is filled up by stepping the wafer
mechanically and repeating the exposures. Refracting focusing is usually
used for the optics. With this technique, VLSI chips with feature sizes of 1
1/4 /Lm are being manufactured. The specifications of two such steppers
are shown in Tables 3 and 4. With these techniques both positive and
negative photoresist are used although the former is becoming the most
popular.
In both the scanning projection and the stepping aligners the physics
of image formation is the same. An outline of the basic scheme is shown in
Figure 11. A mask is illuminated and the projection optics focuses an
image of the mask at the wafer surface. As with electron beam lithography
Magnification: 1/5 X
FOCUSING SYSTEM
MASK (MIRROR OR LENS) WAFER
PATTERN \
/
I
I
I
ac I
I
I
I
I
ILLUMINATING
RAYS APERTURE IMAGE OF MASK
DEFINING a PATTERN OF
MAGNIFICATION M
1.0
0.8 CT=O
=LL O.E:'-
....
:E
= 0.4
0.2
or 0.8 p,m lines and spaces whereas a high resolution light microscope
objective lens with a > 1, A = 0.5 p,m, NA = 0.5 might yield a visibly resolved
image corresponding to a spatial frequency given by 1.8 v max = 1.8 p,m- 1 or
0.25 p,m lines and spaces.
The reader should be cautioned against attempting to generalize the
response of the projection optics to arbitrary mask patterns for intermediate
values of a. For a value of a = 0, the system is linear with respect to
amplitude and for a= 00 it is Iinearwith respect to intensity. For intermediate
values, the system is non-linear and extension to patterns other than a
grating pattern is tricky.16 The curves in Figure 12 are for a square wave
object with sharp transitions between zero intensity and full intensity. It is
interesting to point out (from Figure 12) that light optics with a minimum
wavelength of 190 nm, set by the transparency of the mask substrate, and
NA = 0.5 can generate images with 10% contrast at a spatial frequency of
4 p,m- 1 or 0.125 p,m lines and spaces. Such a system in practice would
require resists of much higher contrast than are presently used and reflec-
tive focusing optics of very high numerical aperture. In practice contact
printing has yielded lines of 0.2 p,m widths. 17 So, the limits to the resolution
of optical lithography are not firmly set by diffraction but by increasingly
difficult practical problems in pushing the diffraction limit further into the
submicron regime.
In modeling the aerial image formation process, we usually assume a
grating pattern and use curves similarto those of Figure 13. We are usually
interested in spatial frequencies such that only the first order diffracted
rays are accepted and so the aerial image is a sine wave of contrast
indicated by Figure 13. We can also model the case of out-of-focus images
as might occurwhen the wafer is not flat and there is no dynamic correction.
Treatment of this case is beyond the scope of this chapter, and the reader
is referred to the monograph by King. 16 The most widely used modelling
program SAMPLE18 requires as inputs the values of A, widths of exposed
and unexposed lines, NA and, in later versions, the extent of defocus.
The most commonly used wavelengths are 436 nm, 404.7 nm or less
commonly 365 nm. All 3 lines are present in mercury arc illumination.
Because the energy of the photons is insufficient to directly cause cross-
linking orchain scission, a photoactive compound (PAC) is a third compon-
560 Semiconductor Materials
STEP 1
DIAZIDE PAC
-.-
AZIDONITRENE
STEP 2
DINITRENE
H H H H H H H H H H
STEP 3 -C = C-C-C -C = -C C-C-C-C=
H H H I H
NH
POLYMER { H H I
MOLECULES -C = C-C-C-C = R
I
H H H H H
NH
I H
-C=C-C- C- C =
H H H H
~
CROSSLINKED
MOLECULES
ent incorporated, the other two being the base polymer and the solvent,
into both negative and positive resists. In the case of negative photo-resist,
the PAC is a di-azide material which decomposes and bleaches under UV
irradiation to form nitrenes which bring about crosslin king of the base
polymer molecules (Figure 13). Positive photo-resist (PPR) also contain a
PAC with diazide groups which on UV irradiation bleaches and decomposes
to render the local base polymer, a novolak resin selectively suspectible to
dissolution by an aqueous alkaline solution.
We can model the exposure of both negative and positive photoresist
by embellishing the simple exposure model used for the exposure of
electron beam resist. The treatment follows that of Dill and co-workers. 2o -23
Initially we will treat the case where the resist is on a non-reflecting
substrate. The resist material when unexposed absorbs light quite strongly.
As the exposure proceeds, the PAC bleaches thus reducing the absorp-
tance of the resist. This effect can be seen by viewing the resist in a high
power light microscope in the reflection mode. After viewing the resist at
1000X magnification for several seconds, during which time the resist
becomes clearer, viewing the same area at 400X magnification will reveal
a small bleached area representing the area illuminated when at 1000X
magnification. Thus, unlike the case of the electron beam resist, we have
the complication that the local power dissipation varies both with depth, z,
and wi~h time, t. This behaviorcan be modelled by assigning an absorptivity
a(z,t) to the resist material, where a contains both a labile component
which decays on exposure and a non-labile component which is unaffected
by exposure.
Thus, across an elemental depth, 6,Z, the local intensity drop is given
by
I + 1 0 exp (-a~z)
Microlithography for VLSI 561
constant B. Thus
111 + I exp {[-AM(z,t) + B]~z}
Determining C is a bit more tricky and involves measuring the initial rate of
change of T.
Zo
In general T + exp { - J [AM(z,t) + B] dz }
Z
°
dT(t) d
~ + - T(t) dt (
°
I AM(z,t)dz)
o
z
o 3M(z,t)
+ - T(t)A J at - dz
°
zo
At t + 0 ~~ - :~ I
t-o
+ -T(o)AC J
o
I(z,o)M(z,o)dz
Z
+ -T(o)ACl o J° exp[-Z(A+B)]dz
o
562 Semiconductor Materials
dT
dt
I +
-T(o)ACl o
A+B l-exp [-Zo(A+B)]
t-o
... C +
A+B
AI o T(o)[l-T(o)]
dT
dt
I
tao
unsatisfactory when dry etching is used at the following step. The thickness
versus exposure curve of a typical negative photo resist is shown in Figure
14. As with negative crosslinking electron resists, both the contrast and
the required dose are quite low, about unity and 5 mJ/cm 2 respectively.
Recently some newer materials, e.g. Selectilux®, have been reported to
exhibit better topographic characteristics. As a result, negative photoresists
may regain their popularity.
To model the development response of positive photoresist we have,
as yet, no satisfactory mechanism. We resort to an empirical determination
of the rate of etching away of the resist material as a function solely of M for
each material. The technique for measuring the etch rate, R, as a function
of M is described fully in Reference 22 and is described as
R + exp (E l + E2M + E3M2 )
Reliable and quick ways of determining developing rate37 are important
because the values obtained depend critically on many factors such as
temperature of the developer and the degree of agitation. Hence, quoted
values should only be used as examples. Some results are shown in Table 6.
Thus, we have characterized the interaction of ultra-violet light with
photoresist in terms of the resulting normalized concentration, M, of
0.8
0.6
0.4
I
100
Figure 14: Fractional thickness remaining, Tn, versus exposure for Kodak KMR
752 negative photoresist. Notice that Tn never reaches 1 suggesting that the ex-
tent of crosslinking is limited by the availability of photoactive compound (after
Blais, Reference 19).
564 Semiconductor Materials
E1- 5.27
E2 - 8.19
E3 • 12.5
1 + R+ E (l-e -j~)
2
1 - R + NE (l+e-j~)
2
l-exp(-jp) - nrl+exp(-jp)]
Whence R·to l-exp(-j~) + n[l+exp(-jep)]
The power absorbed in the film is simply 1-R2, the difference in powerofthe
incident and reflected wave, outside the resist. Thus, determining R is
Microlithography for VLSI 565
INCIDENT
WAVE
AIR E=1
H=H o
!E=R
H= - RHo
RESIST
METAL~~~-
~ Z-o
Figure 15: Schematic view of resist film on a perfectly reflecting metal film
(reflection coefficient = .1). The incident wave has unit amplitude and the total
ampl itude reflection coeffecient is R. At the air/resist interface both the elec-
tric field and the' magnetic field are continuous. Because of the principle of
superposition, we can treat the series of multiply reflected waves as two waves,
one traveling downwards and the other upwards. The complex phase shift ¢ =
41T ZoIA (n +j k ).
566 Semiconductor Materials
The above will give the aerial image incident on the resist. We now must
supply the second set of parameters which includes:
We can now determine M(z) for each value of x where x is lateral position
and Z in depth.
We now supply the parameters E1 , E2 , and E3 describing the developing
action and can determ ine the resist profile after a succession of developing
intervals. The advancing front of the etching process is controlled by the
parameters M(x,z), E1 , E2 , E3 and is modeled as a string of elemental
Microlithography for VLSI 567
(SI02/51)
DEVELOPING TIMES: 305 ---~""t-- .../
60s---""""'-::r4l
90
120s----.r
0.4 UM
AERIAL
.+ * ili
_---'-----'_ _---'---:.-_ _---'
+J t .:
I MAGE
TOP LAY E R
1 BOTTOM
\ LAYER
1'-7-r...,......,....-;--r"7~.....,........,-~
»//1:~/»/~;///:} SUBSTRATE
b)
Figure 19: Principle of multi-level resist. The spin-on bottom layer is much
thicker than any step height and presents a much flatter surface for the top
radiation-sensitive layer. Following patterning of the top layer, by the aerial
image, the bottom layer is patterned by some technique, e.g., ion beam etching,
not sensitive to variations in larger thickness. Often a 3rd intermediate layer
is used to provide a robust mask for etching the bottom layer.
Figure 20: A tri-Ievel resist pattern formed by electron beam lithography of the
top layer (PBS resist) followed by reactive ion etching of an intermediate (800 A
silicon) layer and then oxygen ion etching of the lowest layer of overbaked (200°C
for 30 min) AZ1470 resist (photograph courtesy of E. Crabbe and G. Eiden).
570 Semiconductor Materials
steps over reflecting substrates (Figure 21). The first reported manufactur-
ing appl ication of the multi-layer resist scheme was in the production of the
CPU chip for the Hewlett Packard 9000 computer. 29 Stepper projection
lithog raphy was used to generate the critical levels which have a half-pitch
of only 1.25 p.m.
Figure 21: Optically exposed tri-Ievel resist covering aluminum steps. Note the
greatly improved linewidth control compared with that shown in Figure 16 (SEM
photograph courtesy of M.M. O'Tool, E.D. Liu, M.S. Chang) (Reference 25).
Microlithography for VLSI 571
is a rectangle with dimensions that range from 0.5 x 0.4 p.m 2 to 2 x 2 ,um 2
with changes taking place is less than 1 ,uS.32 The specifications of a
recently announced commercial instrument are shown in Table 7.
X-Ray Lithography 33
This is an approach to combine sub-optical resolution with parallel
processing. The principle, outlined in Figure 22 is proximity printing with
MASK
A •
SUBSTRATE ABSORBER]
Figure 22: Principle of X-ray lithography. Because point projection is used the
sharpness of feature edges is set by penumbra as well as by photoelectron gene-
ration. Varying the mask-to-wafer gap will change the magnification and can be
used for correcting isotropic linear distortion but uncontrolled local variations
will cause placement errors.
572 Semiconductor Materials
Figure 23: Elimination of the effect of mask defects by vote taking: (a) micro-
graph of a grossly defective portion of reticle mask showing both positive and
negative defects, (b) micrograph of corresponding wafer resist pattern showing
effective correction by using four mask fields per chip field.
Microlithography for VLSI 573
Acknowledgments
REFERENCES
1. Materials for Microlithography, Ed. L.F. Thompson, C.G. Willson, and J.M.J.
Frechet, 1984 American Chemical Society Symposium Series 266.
2. I. Haller, M. Hatzakis, and R. Srinivason, IBM J. Res. Dev. 12:251 (1968).
3. M.J. Bowden et aL, in Microcircuit Engineering, Ed. H. Ahmed and W. C. Nixon, p.
p. 239 (Cambridge University Press, 1980).
4. A.E. Emslie, F.T. Bonner, L.G. Peck, J. Appl. Phys. 29:858 (1958).
5. D.R. Herriott, R.J. Collier, D.S. Alles, and J.W. Stafford, IEEE Trans. Elec. Dev.
22:1305 (1975).
6. R.F.W. Pease, Contemporary Physics 22:265 (1981).
7. H.C. Pfeiffer, Scanning Electron Microscopy 1972, p. 113 (liT Research Institute,
1972).
8. R.E. Howard et aL, J. Vac. Sci. Tech. B1 :11 01 (1983).
9. A.A. Iranmanesh, R.F.W. Pease, C.M. Horwitz, "A Direct Experimental Determin-
ation of the Lateral Extent of Scattering of Kilovolt Electrons in a Solid Target,"
Stanford Electronics Labs. Technical Report (1980).
1O. T.H.P. Chang, J. Vac. Sci. Tech. 12:1257 (1975).
11. D.F. Kyser and N.S. Viswanathan, J. Vac. Sci. Tech. 12:1305 (1975).
12. J.P. Ballantyne, J. Vac. Sci. Tech. 12:1257 (1975).
13. L.F. Thompson, J.P. Ballantyne, E.D. Feit, J. Vac. Sci. Tech. 12:1280 (1975).
14. D.C. Shaver, unpublished communication.
15. See any college-level textbook on optics.
16. M.C. King, IEEE Transactions on Electron Devices, ED-26, 711 (1979).
17. H.C. Craighead et aL, J. Vac. Sci. Tech., B1 :1186 (1983).
18. W.G. Oldham et aL, IEEE T-ED 26:717 (1979).
19. P.O. Blais, Proc. Kodak Microelectronics Conference 1975 p. 6.
20. F.H. Dill, IEEE Trans. Elec. Dev' ED-22:440 (1975).
l
Paul s. Ho
IBM Thomas J. Watson Research Center
Yorktown Heights, NY
INTRODUCTION
575
576 Semiconductor Materials
10 7
106
10 5 Memories (RAM)
60 65 70 75 80 85 90
Year of Introduction -+
Source: Siemens
Figure 1: Progress in silicon chip technology since 1960 (Source: Siemens). Note
that the degree of integration quadruples about every 3 years. While the develop-
ment in microprocessors may show after the 32 bit level, the advance in dynamic
memories remains constant (Reference 1).
Cr-Cu-Au
terminal pad
AI-Si
/
Thermal oxide
(P20S· Si0 2 )
Pb-Sn
sol~er pad Cu-Sn intennetallic
Phased Cr-Cu
Cr
3.8 ,urn Sial
Silicon
degree of flexibility for the functional design of the chip, to enhance the
performance and the level of integration of the whole system, new packaging
structures are required which can utilize the high density and performance
of the device chips. This has brought forth significant improvements in the
performance and level of integration of the packaging system. As indicated
by the statistics in Figure 3, the wiring density in packaging has grown
exponentially with time, but at a rate less steep than that of the device
density.4 Although this has not been as well recognized in the past, it has
become clear recently that packaging is an important issue, particularlyfor
the high end computer systems where performance is the prevailing
factor.
This can be illustrated bycomparing the IBM 3033 and3081 computer
systems. s As shown in Figure 4, the performance of the central processing
unit, as measured by system cycle time, can be divided into chip and
packaging portions. While the cycling time in the chip has been improved
about 20%, the improvement in the packaging portion is about threefold.
(The improvement in the circuit chips should not be measured by speed
alone since there is significant enhancement in the circuit density which is
not shown in Figure 4). The improvement is achieved primarily through a
500
200
100
50
20
:.f.l 10
";j
c
·5
'- 5
~
sc..
:;
0
............ 2
:;
c..
.E
2
0-1 10 10
Figure 3: Progress in circuit density with time at the packaging module level.
The abbreviations associated with the data points represent different versions of
IBM packaging modules (Reference 4).
Metallization for VLSI Interconnect 579
Board and
cable
Card
Chip
Chir
Technology
Figure 4: Comparison of system performance of the IBM 3033 and 3081 cen-
tral processing units (Reference 14).
580 Semiconductor Materials
Module 41.9
Card 38.8
100°;0 1000/0
3033 Technology
22,560
Module-to-card
Card-to-board 4,000
} 670 (no card)
WIRING STRUCTURE
where a and b are parameters with a between 2 to 3 and b about 1/2 to 2/3.11
This rule can be illustrated by the results obtained by Heller et al. 10
Using a statistical simulation method, they calculated the wiring r~quire
ments for a logic chipas a function of the gate density. As shown in Figure5,
the number of wiring tracks required follows Rent's rule with b varying from
about 1/2 at low gate density to about 213 at high gate density. This example
shows the empirical nature of the Rent's rule since its parameters vary
with the device density. The increase in b with gate density shows that the
wiring requirements actually exceeds that predicted by Rent's rule based
on low gate density.
As device density increases, there is anotherfactor contributing to the
increase in the wiring requirements. This is the increase in the average
length of each connection. This altogether with the increase in the number
of con nections causes the total wire length to increase drastically, as seen
from results obtained by Heller et al. (Figure 6). These results can be used
to estimate semi-quantitatively the impact on the wiring requirements of a
bipolar chip as the device density increases. For example, when the
number of logic circuits increases from 100 to 1000, the number of
connections required increases from 13 to 20 while the total wire length
increases by a factor of about 100. Assuming the wire track width can be
scaled proportionally according to the numbers of circuits, Le. by a factorof
582 Semiconductor Materials
20
-.J
-.J
W
U
a:
w
Cl.
(f)
~
~
a: 15
t-
w
a:
i
10 1000
10 100
GATES/CHIP
Figure 5: Results of a numerical analysis showing the" Rent/s rule" for a logic
chip. This rule correlates empirically the number of wire tracks required per cell
to the device density on a ch ip (Reference 10).
~,the total wiring area would still have to increase by a factorof~. This
indicates that as device scaling continues, the circuit layout and the chip
becomes increasingly dominated by interconnect wiring. Eventually, it will
become impossible to use all the circuits on a chip simply because some of
them cannot be wired properly.
This can be seen from the statistics of a recent bipolar chi p 12 with a
surface area of 0.29 cm 2 and a gate count of about 1500. The total length
required for wiring is 4m. With a wire channel width, line width plus line-to-
line separation, of 6.5 /lm, the total wiring area is 0.26 cm 2 , which is about
90% of the surface area of the chip. Thus the structure of this chip is clearly
dictated by the wiring requirements of the interconnect.
In the layout of the wiring structure, several factors are important to
consider in order to optimize the device performance. First, the length of
connection should be minimized. This is equivalent to minimizing the RC
response time for optimum circuit performance. Second, the layout should
minimize the cross talk in order to reduce the level of inductance noise
coming from the random switching of individual circuits. Third, it is desirable
to keep all the connections as close to the average length as possible. This
reduces the random fluctuations of the switching voltage at the contact.
Fourth, the placement of circuits should distribute the power dissipation
evenly. This is to minimize the local heating, a problem particularly important
for driver circuits. And finally, the layout should facilitate detection and
correction of errors and defects.
Metallization for VLSI Interconnect 583
w
z
:J
AVERAGE
'+
~---=--+++-+
:+~ I
100 10 3 104
CIRCUITS/CHIP
Figure 6: The average length of interconnect and the total wire length on a logic
chip as a function of device density. The lengths are measured in circuit pitches,
i.e. the square root of the area per circuit (Reference 12).
packaging can become very complicated and usually requires a high level
of integration and optimization. This can be illustrated by the packaging of
the IBM 3081 system. This system contains two main levels of wiring
structure: the module and the board. The module is designated as the
thermal conduction module (TCM). Each TCM contains about 100 device
chips, each of which has about 2000 circuits. It is built into a ceramic
substrate of about 5.5 mm thick and 10 cm by 10 cm square (Figure 8). The
wiring structure in the board is designed for each board to support 10
modules. 15 It has a 20-layer structure containing about 6,000 connectins
with dimensions of 60 cm by 70 cm. The wiring complexity of this board is
comparable to that of the TCM.
It is interesting to estimate the wiring requirements forthe module and
the board on the basis of Rent's rule. Taking the parameter a to be 2.5 and b
0.6, the number of interconnects required for each chip is about 250
connections for the 2000 circuits. To support the 100 chips on one module
requires 16 times the I/O connections of an individual chip. This turns out
to be about 4,000 connections for each module. These requirements
evolve the module into a structure with more than 30 interconnect layers
Metallization for VLSI Interconnect 585
Redistrihul ion
layers
b Signal
distribution
layers
Power
distribution
layers
Figure 8: (a) Exploded view of the thermal conduction module assembly. (b)
Schematic drawing showing the wiring structure of the multilayer ceramic sub-
strate used in the thermal conduction module (Reference 14).
586 Semiconductor Materials
200
Q) 150 1- _ _ _ _ _ .,
c
c
~
L:.
U
c 100
co
E
)(
co
~ 50
.............4._ ..
........ _....
0
0 2 4 6 8 10
3 5 7 9
Channel position
Figure 9: Histogram of the maximum wire densities within a given channel cal-
culated using various methods of interconnect routing. The channel position
provides a measure of the length of the interconnect. The top dash line repre-
sents the results obtained by the simulated annealing technique (Reference 16).
Metallization for VLSI Interconnect 587
Scaling Factor
Doping concentration k k
Voltage 11k - 1
Power density
J
1970 s 3-6 -1 -1000 0.2-0.5 0.5-1
ELECTRICAL CHARACTERISTICS
pL
R = U;-'
"t m
c = tWL
t
ox
(2)
and
where p is the resistivity, L the wire length, W tile wire width, t m thickness of
the wire, f the permittivity, and t ox the thickness of the oxide. Equation 2
shows that if t m and t ox can be scaled in the same manner as L, the line delay
would remain cons~ant (see Table 2 also). Therefore, the line delay becomes
an increasingly larger portion of the total circuit delay as the device
dimension decreases. Eventually it can become a substantial part or even
dominate the system response time for very small dimensions. In practice,
linearscaling is difficu It to accomplish fordevices with submicron dimensions
since t m and t ox are usually limited to aboutO.5 p'm and 1OOA respectively. In
addition, L of all the interconnects does not scale uniformly as we discussed
previously in the wiring placement section.
In general, the effect of the line delay will become a problem when the
minimum dimension reaches below about 2 p.m. The impact is less for FET
memory circuits than for bipolar logic circuits because of the bipolar
circuits more complex wiring structure. For the 3-level bipolar chip with
about 2 p'm minimum dimension shown in Figure 2, the wiring delay
constitutes a sig nificant portion of the system processing time. In addition,
for VLSI applications, the chip dimension is usually enlarged in order to
accommodate the high device density which, when combined with a more
complx wiring structure, results in broadening of the overall length distri-
bution of the interconnects. This widens the distribution in the RC time
constants with substantial increases for the portion of the wires with long
lengths.
To minimize the RC delay, it is usually important to use materials with
low resistivity and perm ittivity to bui Id the interconnect structu reo In Tables
4 and 5 are summarized some of the physical properties forthe commonly
used conductor and insulator materials. 21 ,22 (The resistivities forthin films
of these metals are not given here since they depend in general on various
parameters, e.g. method of deposition and grain structure. They are about
20-40% higherfor pure and large grain films of AI and noble metals but can
be 2 to 3 times higher for impure refractory metal films.) Because of their
excellent conductivities, it is clearwhy AI, Au and Cu are the most commonly
used metals. However, in certain applications, because of processing
requirements (e.g. the annealing temperature) or device design (e.g., the
high-density self-aligned polycide gate), materials of lesserconductivities,
such as refractory metals, silicides and even highly dOrJed polycrystalline
silicon are used.
Metallization for VLSI Interconnect 591
Electrical
5.5 4.4 13.0 0.004
Porcelain
Glass-
7.5 4.2 42.6 0.01
Ceramic [7]
For the insulators, Si0 2 is the universal material used to form the
dielectric layer on circuit chips. It has a low dielectric constant of 3.5 and
can be produced with extremely low defect density by oxidizing the Si
substrate to a thickness as small as 100-200A. This makes itwell suited for
gate insulator applications although for submicron devices, there is some
question regarding the integrity of Si0 2as a gate insulatorforthicknesses
below 100A.23 For interlevel insulation, silicon oxide up to 2 fLm thick
produced by sputtering or evaporation (often not of the exact Si0 2 stoichio-
metry) is often employed. Si 3N 4 is frequently used in combination with Si0 2
in spite of its high dielectric constants. Its excellent mechanical strength
makes it well suited to serve as a lithographic masking material. Examples
of the Si 3N4/Si0 2 combined layer can be seen in Figure 2.
For packaging applications, ceramics formed byvarious combinations
of oxides, particularly AI oxides and Si oxides, are common materials. For
example, the multilayerceramic moduleshown in Figure8 employs materials
of several oxide mixtures. The ceramic materials have relatively high
dielectric constants (about 7-8) and have to be processed at elevated
temperatures (above 1500°C). Special, and often complex, processes
have to be developed for the application of this type of material forforming
multilayer structures. For example, high temperature processing neces-
sitates the use of metals with high melting points, such as the refractory
metals. This increases the response time of the system due to the high
resistivity of these metals. To circumvent these difficulties, polymeric
materials are being considered to replace the ceramics in chips as well as
in packaging. 24 The main advantages of this class of materials are the low
dielectric constant (about 3.5, similar to Si0 2) and the low processing
temperature (usually below 400°C). Higr-temperature polymers such as
Polyimides are used to satisfy processing requirements where thermal
stability up to 400°C is required.
Some of the problems relating to wiring delays for VLSI applications
have been discussed by McGreivy.25 He has considered the change of the
access time for a static NMOS (N channel) RAM with decreasing design
rules. His results are shown in Figure 10. The access time decreases
continuously with shrinking device dimensions down to about 1.5 to 2 fLm.
Below that, the effect due to the RC delay of the interconnect becomes
observable and its magnitude depends on the resistivity of the material
used. For refractory metal gates with sheet resistivities of 1 ohm per
square cm (sheet resistivity equals p/t m), a decrease in the access time can
still be achieved below 1.5 fLm although the gain is very small. The access
time is doubled what one would expect to achieve in an ideal scaling
model. For resistive polysilicon gates with 20 ohm per square cm sheet
resistivity, corresponding to a 1 fLm thick gate with 200 ohm-cm resistivity.
The access time increases with decreasing geometry due to the RC delay.
The access time becomes about an order of magn itude more than the ideal
case in the submicron range.
He has also considered the problem of parasitic capacitance. His
resu Its for the variation of the capacitance components of intercon nect as
a function of line width are shown in Figure 11. Of the three capacitance
components, only the metal-to-substrate capacitance, Cms' decreases
Metallization for VLSI Interconnect 593
ISO r-------------------------.~---_.,
-0c
o
u
~ 100
o
c
co
c
Q)
E
~
(J)
(J)
Q)
u
u
«
(1ohm/ O )
Refractory Metal G ate _-----
------,~~s~ii;g-
o L--O.L.5----..:.:~---.L..1 ------L.2 ----'-----'--~5--.6~7~8~9~IO
Gate Length (microns)
Figure 10: Variation in the access time of a 4k NMOS RAM with decreasing de-
sign rule for gate interconnects with different resistivity (Reference 25).
,-----W m
, __ "1I 4
W
(s
t-- W
~l--- m ---1-.
I
tJ~--lm~/~_ _"\
t 1
fox
SiO
2
-'-(
--.--
I
me
--!.-c ms
--r-
!
_\-"C
----r-
!
'me
Substrate
(
mm
2.0
1.5
(apaci tance
(Relative
to (
ms
at 1 micron)
1.0
t ,t = Constant
m f ox
0.5
2C
me
C
o .... ..... mm
..... ~
MATERIAL REACTION
D.
J•. = c._IF.
IkT I
(3)
(4 )
where f.lj is written as originating from the concentration c j' the internal
chem ical free energy f.lj( c j), and other external contributions, such as those
from the stress a and electric potential ¢j; v j and qj are the atomic volume
j
F.• = kT
-vc.-V{J!.(c.)}-D.e.-q.E. (5)
C • 1 1 1 I 1 1
j
where £j and E j represent the deformation strain and the electric field
respectively.
In th is form, the d riving force in a mu Iticomponent system can orig inate
596 Semiconductor Materials
from three types of sources. The first source is related to the concentration
gradient which is generally recognized as the diffusion term. The second
force comes from the internal chemical energy gradient representing the
driving force associated with the change in the chemical form of the ith
element, e.g. the compound phase or the composition in a concentrated
alloy. The last type relates to external constraints such as an applied stress
(Tj or an electric field E j•
c.-c~
-v.J. + _1_ _
1 (6)
1 'T
-3
THE DIFFUSIVITY SPECTRUM
FOR F:C.C. METALS
-5
-7
'0
Q)
~
N
E
~ -9
0
0\
.2
-II t-
Z
5
Q.
(!)
-13 z
5
w
:E
-15 3.0
1.0 1.4 1.8 2.2
Tm/T
Figure 12: Summary of diffusivities via various types of structural defects. The
temperature scale is normal ized to the absolute melting temperature T m (Ref-
erence 29).
4 b b
~
E 2
s
en 0
~
~
0- -2 ..l d .L d
0
.....J
-4
-6
METALLIZATION RELIABILITY
Junction and Gate Contacts
The scaling results in Table 2 indicate the impact on junction properties
is to increase the contact resistance while reducing the junction depth.
This problem affects both Schottky diodes and ohmic contacts although
these two types of junctions have different reliability requirements because
of their specific circuit functions. The problem of contact resistance has
been investigated by several groups32 and the results are shown in Figure
15. The variation of the specific contact resistance Rc with a dopant
concentration, No' is comprised of two regions: the charge transport is
mainly controlled by thermionic emission for No less than 10 19 percm 3, but
by tunneling for No more than 10 19 per cm 3. To reduce the contact
resistance, it is essential to operate in the tunneling region which requires
high dopant concentrations.
Metallization for VLSI Interconnect 599
~
(Ni-BULK) Ni*(o)
(Pb-BULK) Pb*(b)
(Ag-BULK)Ag*(c)
"(~u-BULK)AuM(d) *
~Au-1.2 To BULK) Au (e)
(Au-1.2To BULK) Au*(i)
"~038 exp (-17Tm /T)
""
a "
~
'<~/AI-AES(fl
'\ "
-~Y~u *(g)
Au/Ag-AES(m) \ ",
- \ ~Au-0.86CO)CU-AES
28exp(-25Tm /T) ~~ (0)
(Ni -0.5Co)Au*(n)
4.5
Figure 14: Plot of the grain boundary diffusivity against the reciprocal normal-
ized temperature T mIT for (a) data obtained by sectioning techniques in thin
films and some bulk materials and (b) data obtained by permeation techniques
in thin films (Reference 30).
600 Semiconductor Materials
300 K
- - THEORY
• P t 5 i - 5i
a Al- 5,
E 10
- I
u
I
~
u -I
ex: 10
-3
10
-~
10
5 • 10 30
~ ( 10. 10 cm 3 / 2 )
~
Cosputtered Alloy 25
HfSi 2 45-50
VSi 2 50-55
NbSi 2 50
SCHEMATIC PRESENTATION OF
AI/Pd 2Si/Si REACTION
Pd+Si
a) INITIAL STAGE
AI/Pd
COMPOUND
AI
DIFFUSION
Pd 2 Si
b) INTERMEDIATE STAGE
AI/Pd
COMPOUND
REGROWN
Si LAYER
(AI DOPED)
c) FINAL STAGE
Figure 16: Schematic presentation of the different reaction stages in AI/Pd 2Si/Si
junctions. Note that Si precipitate can reach the contact interface before the Pd 2Si
layer is completely consumed by passing through pinholes existing in the Pd 2 Si
layer as shown in b) (Reference 41).
B E c
n+ BURIED LAYER
(0)
(b)
Figure 17: Schematic cross sections of silicide contacts to (a) bipolar and (b)
MOS devices. Note also in (b) the combined use of sil icide and polysil icon for
gate metallurgy (from Reference 43).
Electromigration
Electromigration describes the movement of atoms in a metallic
conductor induced by the passage of a direct current. Its magnitude is
determined by the atomic diffusivity and the current density. Electromigration
induced damage in the form of opens or shorts in the interconnect lines is a
result of a local divergence of the mass flux. This divergence can be
generated by various types of inhomogeneities, such as those from grain
size variation local heating and stress gradients. 48
With regard to electromigration, the main impact of scaling is to
increase the current requirements of interconnecting lines. This problem
has two basic aspects, one from the increase in the current density and the
other from the reduction in device dimensions. 49 From Table 2, the current
density is seen to increase linearly with the scaling of MOS devices and
Metallization for VLSI Interconnect 605
more than linearly for bipolar devices. This increases the driving force for
electromigration as well as the Joule heating generated in the conductor.
With the heating increase as j2 p, the effect can be significantly higher than
the driving force coming from the linear increase in j. The combination of
these factors can raise the conductor temperature giving rise to higher
atomic diffusivity and electromigration flux. This problem, together with
the increased power density, necessitates an improvement in heat dissipa-
tion during device operation. The combined effect of these factors will
inevitably cause the electromigration flux to increase beyond that caused
by the increase in current density alone.
The increase in the current density can be estimated based on the
trend in device dimensions. For present devices with dimensions of about
3 /Lm or larger, the current density can reach 2 X 10 4 and 5 X 10 4 A/cm 2 for
MaS and bipolar devices, respectively. For the next generation of devices
with minimum line dimensions in the range of 1.5 to 3 /Lm, j increases to
about 5-10 X 10 4 for MaS and 2-4 X 10 5 for bipolars devices. This trend
continues and can result in j exceeding 10 5 and 10 6 respectively, for
submicron MaS and bipolar devices. This is an increase of 10 2-1 03times in
the current density. Since an isolated metal wire can carry only about 10 4
A/cm 2 before melting, the Joule heating generated in a line carrying 10 5
A/cm 2 must be almost completely removed through the substrate and/or
the passivating overlayer. When the current reaches rv1 0 6 A/cm 2, any
imperfection in the substrate, such as processing defects or interfacial
barriers, can cause thermal ru naway to destroy the line. Even without such
a catastrophe, the heating effects of such high current densities will
increase the rate of electromigration, resulting in a significant reduction in
the lifetime. In practice, this is reflected by an increase in the exponent n in
the lifetime equation of t 50=Aj-n exp (ilH/RT) outside the normal range
between 1 and 2. This effect adds considerable difficulty in extrapolating
the lifetime under operating conditions for submicron lines from results
obtained in accelerated stress tests. 50
The other electrom ig ration problem due to size reduction is geometry-
related and caused by scaling into the submicron range. For metal films, it
is generally observed that the grain size is about the same as the film
thickness. For a 1 /L thick film, the common thickness of interconnecting
line, there will be only a few grains spanning across a 1-3 /Lm wide line. At
the device operating temperature, the electromigration flux is confined to
grain boundaries. With a small number of the grains across the line, each
individual divergent site in the grain structure becomes potentially more
damaging since a line can fail without requiring a statistical linkage of
several divergent sites, as would be the case of a line many grains across.
This shortens the conductor lifetime while increasing the randomness of
the failure statistics, i.e. increasing the statistical deviation ain the lifetime.
Both trends have been observed in lifetests 51 as well as in computer
simulation for linewidths down to about 2 /Lm52. This effect is significant
since the extrapolated lifetime for device operation can be significantly
reduced by an increase in a.
Another effect which results from the increase in the grain size-to-line
width ratio is a decreas~ in the role of the grain boundary in mass transport.
606 Semiconductor Materials
SUMMARY
REFERENCES
47. C.Y. Ting, S.S. Iyer, C.M. Osburn, G.J. Hu and AM. Schweighart in VLSI Science
and Technology/1982, ed. C.J. Dell'Oca and W. M. Bullis, The Electrochemical
Society, 1982, p. 224.
48. F.M. d'Heurle and P.S. Ho in Thin Films-Interdiffusion and Reactions, ed. by
J.M. Poate, K.N. Tu and J.W. Mayer, Wiley Interscience (1978). Chp. 8.
49. P.S. Ho, IEEE Proc. of 20th Sym. Reliab. Phys. San Diego, Ca. (1982), p. 288.
50. P.B. Ghate, IEEE Proc. of 20th Symp. Reliab. Phys. San Diego, Ca. (1982), p.292.
51. G.A. Scoggin, B. N. Agarwala, P. Peressini and A. Browillard, Proc. 13th. IEEE
Symp. Reliab. Phys. (1975), p. 155.
52. J.M. Schoen. J. Appl. Phys. 51 :513 (1980); K. Nikama. Proc. 19th IEEE Symp.
Reliab. Phys. (1981 ). p. 175.
53. H.L. Huang. J. Vac. Sci. Technol. A3:705 (1985).
54. S. Vaidya and A.K Sinha. IEEE Proc. 20th Sym. Reliab. Phys. San Diego, Ca.
(1982), p. 50.
55. S. Vaidya and A.K Sinha. Thin Solid Films 75:253 (1981).
56. J.K. Howard. J.F. White and P.S. Ho. J. Appl. Phys. 49:4083 (1978).
10
Characterization of Semiconductor Materials
Gary E. McGuire
Tektronix, Incorporated
Beaverton, Oregon
INTRODUCTION
PHOTOELECTRON
OR AUGER EL~CTRON
IONIZING ELECTRON - (KL 1L2•3)
__.._-----t------+--- VACUU M
........--+"'I'-I-I--+HM'-+-~-+-/-,H-+o,t'-t'+++-t'"-, FER MIS URFA CE
I VALENCE BAND
~
CORE
LEVELS
EK----- ----K
PHOTOELECTRON; EpE = h VI - EK - ~
X-RAY FLUORESCENCE: h V F = EK -E L,
Figure 1: Energy level diagram describing the process for the emission of Auger
and photoelectrons.
612 Semiconductor Materials
involved. For example, the kinetic energy of the Auger electron illustrated
in Figure 1 is typically described as
(1 )
where EK is the energy of the ionized core level, E L1 is the energy of the level
from which the electron originates to fill the initial core hole, EL23 is the
energy level from which the Auger electron originates and ¢ is the work
function. Multiple characteristic Augertransitions may be observed due to
the various core energy levels available for photoexcitation and the multiple
combination of energy levels available for de-excitation and Auger emission.
The Augerelectron is usually described by the three energy levels involved
in its emission. Figure 1 depicts the KL 1 L2 3 Auger transition.
The kinetic energy of the AE is independent of the excitation source.
As a result, the tendency has been to use electron beams in the 1-20 KV
potential energy range for excitation. Electron beams are the preferred
excitation source because they can be focused to a small spot size and
deflected to a region of interest on the sample.
The AE transition is characteristically a small feature sitting on a large
background of inelastically scattered electrons. 2 The most prominent
feature in the electron spectrum is the contribution due to backscattered
electrons from the primary beam. The data has been presented historically
in the dN(E)/dE versus E format as a means to enhance the Auger signal.
More recently the data has been presented in the N(E) versus E due to the
availability of computers for background subtraction.
Figure 2 shows a schematic diagram of an Auger spectrometer. The
optics for the primary beam are coincident with the cylindrical mirror
Compuler
Interface
100
E
c:
.s::."
Cii
Q. 10
Q)
Q)
it
c
nJ c:
~~
.~ 1
c;;
......
nJ
(i)
..=
0.1 a-....... .... ....._ _....
10 100 1000
Energy (eV)
Figure 3: Plot of electron inelastic mean free path versus energy which illustrates
the shallow sampl ing depth of the electron spectroscopies.
614 Semiconductor Materials
Si
CI
200 400 600 800 1000 1200 1400 1600 1800 2000
ELECTRON ENERGY ,eV
Figure 4: Auger spectrum of residue left after plasma etch ing a copper doped
aluminum layer in CCI 4 •
dE.~
dE
PEAK TO PEAK
HEIGHT
5 15 25 35 45 55
SPUiTERING TIME
(min)
Figure 5: Auger depth profile of chemically vapor deposited Si 3 N 4 on thermally
grown Si0 2 over Si.
Go(GaAs) Go(Go203)
N(E)/E
Figure 7: SEM image and 0 and S scanning Auger maps of zone refined Fe foil.
The numbers indicate the approximate orientation of the surface normal of the
various grains.
618 Semiconductor Materials
SURFACE
DIFFUSION
GRA IN BOUNDARY
DIFFUSION
Photoelectron Spectroscopy
Photoelectron spectroscopy is a technique which has many similarities
to AES. Thesame energy level diagram used to describe the Auger process
may be used to describe the photoelectron process. 12 Excitation of the
ionizing photoelectron may be accomplished through the use of a variety
of energetic photons or charged particles. The pri mary focus in th is text wi II
be on monochromatic x-ray excitation of photoelectrons (XPS). Use of a
monochromatic excitation source is essential to this spectroscopy, since
the photoelectron's kinetic energy is directly dependent on the energy of
the excitation source. By knowing the energy of the x-ray with a high
degree of accuracy and measuring the kinetic energy (KE) of the emitted
photoelectron from the relationship:
BE = hv - KE + <t> (2)
one can determine the binding energy (BE) of any electron energy level
less than the photon energy.
A variety of electrostatic electron energy analyzers have been pro-
duced commercially. One of the most popular is the cylindrical mirror
analyzer, Figure 9, similar to that used for AES. A two stage, two cylindrical
mirror analyzers in tandem, device is employed to enhance the energy
resolution. An x-ray source, either an AI or Mg anode, mounted in proximity
to the sample is used for excitation. The x-rays flood a broad area of the
sample since they, unlike the electron source in AES, can not be easily
focused. The acceptance angle of the spectrometer determines the area
of analysis, which is typically a few millimeter diameter circle. With adjust-
able aperture slits the sampled area may be reduced to a few hundred
micrometers.
Figure 10 shows a schematic diagram of another common variety of
XPS spectrometer. It employs an x-ray monochrometer to enhance the
x-ray line width, eliminate satellite x-ray lines and focus the x-rays. The x-
Cha~acterization of Semiconductor Materials 619
Figure 9: Schematic diagram of a two stage cylindrical mirror analyzer and x-ray
source used for XPS.
MONOCHROHATOR
CRYSTAL
HE~:I SPHERI CAL
h.~ALYSER
VACUUN
KATER
SYSTEM
CONTROL
Figure 10: Schematic diagram ofaXPS system utilizing a bent quartz crystal x-
ray monochrometer in conjunction with an electrostatic lens and hemispherical
analyzer.
620 Semiconductor Materials
rays from an AI anode are allowed to diffract off of a bent quartz crystal
before interacting with the sample. The natural AI x-ray linewidth is approxi-
matelyO.9 eVwhilethat of the monochromized source is approximatelyO.4
eV.13 The focusing properties of the monochrometer produce a spot size of
approximately 150 micrometers. 14 Due to the loss in x-ray intensity in
going through the monochrometer, most spectrometers of this type employ
an electrostatic lens to increase the collection efficiency of photoelectrons
going into the hemispherical analyzer and a position sensitive, multiple
array, detector to enhance the count rate.
Photoelectron spectrometers employ ion guns for in-depth profiling
as in AES. Since the area of analysis is much larger than in AES, the ion
beam is defocused in orderto generate a uniform ion flux. This reduces the
ion etch rate but does not prevent one from monitoring signal intensity as a
function of ion sputtering time. In addition, many XPS systems have both x-
ray and electron beam sources for combined multi-technique analysis by
XPS and AES.
Figure 11 shows the Ag3d photoelectron spectrum. The trace illustrates
the relative simplicity of the spectra. The spectral features are Gaussian-
like sitting on a low background. The spin-orbit splitting of the energy
levels, in this case the 3d 5 / 2 and 3d 3/2 , is well characterized and easy to
recognize due to the predictable intensity ratios. The spectra are usually
plotted in the N(E) versus BE format even though the energy analysis is of
N(E) versus KE. Each element exhibits a unique set of photoelectron (PE)
transitions corresponding to its atomic energy levels. The PE transitions
are a function of atomic number so that the energy levels of adjacent
elements in the Periodic Table are all shifted to higher binding energy.
As suggested by this unique set of binding energies, XPS is a good
elemental surface analysis technique. Figure 12 shows a spectrum from a
,Ag3d s12
1500
Ag3d 312
.--..
(.) 1000
Q)
~
(I)
C
::J N
0 500
(J
+
t
0
380 375 370 365 360
BE, eV
Figure 11: XPS spectrum of the Ag3d transition showing the spin-orbit splitting
into the 3d s/ 2 and 3d 3 / 2 components.
Characterization of Semiconductor Materials 621
Si2p
800 Si02.104.3eV Si,99.8eV
>-
t-
(i) 400
z
....
UJ
Z
t-4
Figure 12: XPS spectrum of a Si surface with the native oxide showing the
chemical shift in the Si2p transition.
clean Si wafer to illustrate this point. Two Si2p transitions are observed,
one for elemental Si and one for the native oxide formed on the wafer as a
result of air exposure. One can get a feel for the surface sensitivity of XPS
since the native oxide thickness is typically less than 30A. The surface
sensitivity, as in AES, is controlled by the inelastic mean free path of the
electron as illustrated in Figure 3, rather than the path length of the x-rays
used for excitation.
The ability to distingu ish different oxidation states, as in the case of Si
and Si02, has been one of the recognized strengths of XPS. These chemical
shifts in the core level binding energies are due to changes in the valence
electron density due to compou nd formation. Althoug h, the chemical shifts
may beas large as 10-12 eV, there is frequent overlapfor manycompounds
as illustrated in Table 1. 15 However, there are many sources of chemical
information in the spectra. The sources include first the identification of
the elements present and their relative concentrations, then the chemical
shift of the cation to determine its approximate oxidation state and finally
the chemical shift of the anion to determine its oxidation state. Table 2
illustrates the magnitude of the chemical shifts observed for anionic
species X-2, X0 3 -2 and X04 -2 when X is S, Se and Te. 16 Combination of this
information gives a detailed picture of the chemistry of the surface under
investigation in many cases. There are other spectral features which
provide additional chemical information but which are too detailed for the
scope of th is text.
Figure 13 illustrates the use of XPS in the investigation of the anodiza-
tion of GaAs.7 Both the As3d and Ga3d transitions may be observed. By
combining XPS analysis with ion sputtering the composition of the ano-
622 Semiconductor Materials
Chromium, Cr
Cr
CrB 2
I
Cr2S3
I
CrN
I
Cr(CO)6
I
K 3Cr(CN)6
I
cr0 2
Cr203
I
Crl3
II
CrBr3
I
CrCI 3
I
cr0 3 I
K2Cr0 4
I
K 2Cr207 I
CrF 3
I
Table 2: XPS Chemical Shifts
Oxidation ~E Relative to
Compound State Elemental State
S 5e Te
X- 2 -2 -1.4 -0.8 -0.6
)CO 0 0 0 0
XOa- 2 +4 3.6 3.7 2.9
XOc - 2 +6 5.5 4.2 3.6
w. E. Swartz, K. J. Wynne and D. M. Hercules, ANAL CHEM., 43 1884 (1971)
As 3d Go 3d
(GO(GOAsl
40 20 0
BINDING ENERGY (eV)
Figure 13: XPS spectrum of anodized and annealed GaAs showing the chemi-
cally shifted Ga3d and As3d transitions at various depths in the oxide and at the
GaAs substrate.
peaks, one for the oxide and one for GaAs. The oxidation of many compound
semiconductors has been studied by XPS. The composition of the oxide,
especially as a fu nction of depth, has been fou nd to be strongly dependent
on the method and conditions of formation.
Investigation of metallization schemes used to contact semiconductor
devices is another key area where XPS has been applied. As an example
Figure 14 shows the Pt4f and Si2p spectra obtained from the silicides
which are formed when Pt is used to contact Si,17 Two different silicides
may be formed depending on the annealing conditions used in the process.
The PT 4f7/ 2 and 4fs/ 2 doublet exhibits a chemical shift of less than 1 eV
between the Pt 2 Si and PtSi phases which may be formed. This chemical
shift is easily detectable. The corresponding Si2 p transitions have essen-
tially the same binding energy. The chemical information obtained from
XPS compliments that obtained from a variety of otherthin film and surface
analysis techniques in the investigation of a variety of contact materials.
Since the photoelectron spectra of many elements exhibit only small
chemical shifts for a series of compounds in which the electronegativity
varies over a wide range, it is frequently necessary to examine the other
features of the spectrum. One of these features which frequently exhibits
useful chemical information even when the photoelectron spectra do not,
624 Semiconductor Materials
80 78 76 74 72 70
BINDING ENEAGY,eV
Figure 14: XPS spectrum of the Pt4f 7 / 2 and Pt4f s/ 2 doublet and Si2p transition
of the two silicide phases, Pt 2 Si and PtSi, of platinum.
AI 72.8 93.5
AI 20 3 75.4 100.2
Zn 9.9 494.0
Ge 29.4 341.5
Primary
Ion Mass
Analyzer
Secondary
Ion Mass
Analyzer
Sample
C II
~ 10
E 9 •
~ 8
'; 7
~ 6
Ot 5
SPUTTERING YIELDS
.~ 4
=
5. 2
3
(atoms/ion')
ON COPPER
FOR ARGON
en I
o 5 10 15 20 25 30 35 40
Ion Energy(keV)
Figure 17: Plot of the sputtering yield versus ion energy for argon on copper.
628 Semiconductor Materials
13.5 KeV 0-
• Pure Element
10' .. Compound
10'
Relative ·Th
Intensity
M+ 10 4
10' BD~O S
2
10 0 10 20 30 40 50 60 90 100
100 ~---r----.--...,.---r--........--,.----,r------r"---r--
__- _ - _ -_ _- __
High Purity Silicon
Oxygfn Bombardment
10
~
28 S ·+
.; '2
·
c
~ 0.1
·
>
~ 0.01
·
~
0.001
0.0001
o 10 20 30 40 50 60 70 80 90 100 110 120 130 140 150
MOIII Ch<lrg.
Figure 19: Plot of the relative secondary ion intensity versus the mass-to-charge
ratio resulting from oxygen bombardment of high purity silicon.
~ 10
19
=I~ '\ \
g : \ : ~
8 ; .•~.;\\
10
10 17
18
.:j
.
,
.
"\.
'\.\~.
""- .\ ,
\
, ~
~C,..;r~\ . .
• ""'4.'~ .. .,
'~,~
~.. •
Figure 20: Depth profiles of phosphorus implanted into silicon at 80 keV show-
ing the concentration versus depth.
630 Semiconductor Materials
o _10 16/cm 2 )
As ~ 15 2 (
Implanted -10 /cm J
o _10 14/cm 2
• _10 16/cm 2 )
Laser • _10 15/cm 2 ~
Annealed 14 2 (
• -10 /cm ,
---.
C')
I
E
~
u
r:
o
;:
ca
ECI,)
10
19
CJ
r:
o
U
2
COUNTS
PER
SECOND 0
8
BODO
-'"
'c::J
23 Na +
(a) SIMS
E 6000
:e
~
(/)
z 4000 xB
Q
>-
a: Ga2"
«
~~_~L
0 2000
z
0
u
w
(/)
0
(b) SALI
OL...-.....L----I-~---L-~---'-_...Io..-..--L-_"'---
the sample by ion sputtering. A laser beam is positioned above the sample
so that it intersects with the vapor cloud of atoms as shown in Figure 24.
The laser is tuned tothe frequency necessary to ionize the atom of interest.
The ions are extracted from the sample region, then energy analyzed
before passing into a mass spectrometer. The spectrum like SIMS is a plot
of the intensity versus the mass-to-charge ratio. 25
RIS reportedly is capable of achieving sensitivities reaching 1 part in
10 12 and a selectivity that eliminates ambiguity in the interpretation of the
results. 26 Several factors contribute to the enhanced sensitivity of RIS
over similar techniques like SIMS. RIS has a lower background. The ions
that are generated during the sputtering process are extracted before the
laser pulse ionizes the remaining neutral species. As a result, only ions
generated in the laser pulse pass into the mass spectrometer. Additionally,
only selected ions are generated in the laser pulse. Figure 25 shows the
five basic schemes used in the resonance ionization process. 26 Typically, a
tunable dye laser is adjusted so that it emits precisely the correct wave-
length to excite an electron in an atom from its original state to a higher
state. Occasionally, a second photon from a second laser is used to excite
the atom further to an even higher state. After excitation, a second or third
photon is used to interact with the excited atom, causing the electron to be
released from the atom. Thereby, producing a positive ion and a free
electron. The key to RIS is choosing resonately excited states that can be
easily excited and that have large photoionization cross-sections, so that
they can be ionized with high efficiency. It is possible to saturate all of
these processes with commercially available lasers, so that an atom in the
initial state will be excited through the resonant intermediate states and
into the ionization continuum with unit probability during a single laser
pulse.
RIS is extremely selective, in that, only atoms of a given element are
ionized. The intermediate excited states through which the ionization
proceeds may be chosen such that they are uniquely characteristic of that
DEFLECTION
PLATES
~ t;;:; CHOPPING
SLITS DOUBLE-FOCUSING
~
UADRUPOLE
FOCUSING MAGNETS
ENERGY MASS
~
ION SOURCE
ANALYZER ANALYZER
"-BENDING
~ MAGNET
DETECTOR
W = W1 (OR w2l
W1
2 3 4 5
Figure 25: Schematic diagram of the five basic schemes for R IS.
element. As a result, RIS provides sensitive analysis of solid samples for all
the elements except He and Ne. Sensitivities of down to 1010 atoms/cm 3
have been reported for Na in Si,27
Figure 26 shows a plot of the concentration of 8 in Si as measured by
RIS versus the 8 concentration determined by electrical resistivity.25
There is generally good agreement between the values down to the part-
per-billion level. The RIS values for the samples lowest in 8 lie above the
least squares line fitting the values for the samples with the higher 8
concentration. This is attributed to contamination resulting from sputtering of
the stainless steel slits, a common problem for RIS in the detection of
extremely low concentration levels.
Several approaches have been taken to remove material from the
sample surface to be introduced into the laser beam where resonance
ionization occurs. When an ion beam is utilized, many of the analytical
capabilities are similar to SI MS. The sampling depth of approximately 20A
is determ ined by the sputtering process. The depth that is probed depends
on how long one wants to continue the analysis. Due to the generation of a
crater during the sputtering process, the ion beam must be rastered and
the signal gated such that only material from the flat portion of the crater,
uniform depth, is analyzed.
Since RIS isthe newestofthesurfaceanalysistechniques, there isyet
much to be learned about its sensitivity and sensitivity variation. It shows
Characterization of Semiconductor Materials 635
103~ --------.,
10- 2
10 -3 10 -2 10 -1 100 10 1 10 2 10 3
TEKTRONIX VALUES (PPM)
Figure 26: Correlation plot showing the boron concentration in silicon measured
by R IS versus the value determined by electrical measurements.
where
(3)
AT REST
M >M
2 1
0.8
0.6
0.4
M, =4.0
0.2 9 = 160 0
Ion Detector
Electrostatic
Analyzer
==F=ro=m=Ac=c~~el=er=ato=r= 1~J-
, Target
Beam
,-Jg:1
~ /,\:;~:~
incoming primary beam. A thin mylar sheet is placed in front of the detector
to attentuate low energy secondary ions and secondary electrons.
The spectra are plots of scattered ion intensity versus energy. An RSS
spectrum is the sum of a family of curves from each atomic mass in the
target. As shown in Figure 30, KE o represents inelastic scattering from the
front surface of the target. 28 At a depth X, the primary ion loses additional
energy through electron scattering both going into and escaping from the
solid. Since Rutherford scattering occurs at all depths, a curve is generated
which is the sum of all these events. Each atomic mass in the target
generates a separate curve based on its scattering cross-section. 29
Figure 31 illustrates the application of RSS in the analysis of the
silicides formed during the interaction of Ni and Si. 30 The dashed line
represents the as-deposited Ni on Si case, where the Ni and Si exhibit
distinct scattering energies. Upon heating at 300°C for 90 minutes, Ni 2 Si
forms which is represented by the open circles. The curve for Ni has
decreased in intensity and broadened while the silicide portion of the Si
curve has moved toward the Ni. Additional heating results in a further
decrease in intensity and broadening of the Ni curve and an increase in the
Si curve for the silicide. Since the scattering cross-sections for Si and Ni
are known, the stoichiometry for the different phases of silicide can be
calcu lated without the use of standards. There are many examples Ii ke th is
in the literature where a heavy metal in a matrix of a low atomic mass
element lends itself to RSS analysis.
Since RSS is essentially a non-destructive quantitative analysis tech-
nique, it is frequently used to calibrate other surface analysis techniques.
It, however, has a limited range of sensitivity of about 10 18 atoms/cm3 in a
Si matrix. 31 This sensitivity is adequate for calibrating XPS and Auger
samples but not for many SIMS samples.
638 Semiconductor Materials
SCATIERING - T H I N FILM-
YIELD
----~~-_+_-:d----+--r-__4~--Eo
kEo
kEo - Nx 11 SII = E l
kEo-Nx~S~ =E 2
EO' E1 kEo
ENERGY OF BACKSCATIERED ION
Figure 30: A plot of the Rutherford backscattering yield versus the energy of
the backscattered ion with an accompanying illustration showing the scattering
location in the sampled depth.
n + Si NI As Deposited r"", - NI
<100>
6 ,
,,
,
"'''''''
~
I
300°C 90' Annealing I I
~ • I
300·C90mln ~
1I. 00
4
0
300 C90mlnJ
0
351 C 30 min
~
10' <1
- NISI
.0 , ,
Additional ~ •0 : :
Sequential -- .0 , I
Annealing \~
~ ,
~ •
•
0 ,
I
"
d
2 ~ ~ .0 : ~
et
~ :0 :
.o:
~ .0 I "
L -\ ~
• • 0 I d
~ •8 I ~
, J
O~--_-.l_---"'~..&:at."":;"_.l-~-""_.L-I
0.6 1.0 1.4 1.8
ENERGY (Me.V)
Figure 31: RSS spectra of the phases of nickel silicide formed following the
deposition and annealing of nickel on silicon.
Characterization of Semiconductor Materials 639
1500
o
-J
W
>=
830 KeV
Nucleus Reaction
2H 2H(3He,p)4He
3He 3He(d,p)4He
eLi eLi(d ,o)4He
7Li 7Li(p,o)4He
aBe aBe(d ,o)7Li
"B 1'B(p,o)8Be
12C '2C(d,p)13C
'3C '3C(d.p)14C
UN 14N (d ,O)'2C
1SN 15N(p.O)12C
1eo 1eO(d.p)170
18 0 180(p,O)'5N
1(~F U~F(p,a)1eo
27AI 27AI(p,y)28Si
cross-sections are well known, NRA like RSS is quantitative without the
use of standards. This is especially beneficial for elements like H wh ich are
difficult to detect and quantify by other analytical techniques.
Summary
More attention has been given to the surface analysis techniques in
th is chapter than will be given to the imag ing and bu Ik analysis tech niq ues.
This is the area of expertise of the author and one which has received
increasing attention as device dimensions have decreased. Table 5 is
provided as a summary of the characteristic features of these techniques
that were discussed in this section.
d
SECONDARY ELECTRON (E < 50eV) EMISSION
'/h~~:tr-- l= -v'n f
Z = leas 8 Z = l(eos 28)
Figure 34: Diagram illustrating the interaction of the primary electron beam
with a sol id surface in the production of secondary and backscattered electrons,
x-rays and other secondary radiation.
Since the backscattering yield varies more than the secondary electron
yield across the Periodic Table, backscattered electrons will yield better
image contrast in many situations. 35 The information depth for backscat-
tered electrons as a result of the energy dependence of the escape depth
is 102 greater than secondary electrons. 34
One of the most common analytical attachments to the SEM ~s the
energy dispersive x-ray spectrometer (EDX). The high energy primary
electron beam excites x-rays which are characteristic of the elements
which are present in the solid to a depth of 0.5 micrometers or greater
Characterization of Semiconductor Materials 643
1.0
0.8
0.6
GJ
~
0.4
0.2
0 5 10 15 20 25 30
Energy E eV
Figure 35: Plot of the average intensity of secondary electrons from metals as a
fu ncti on of energy.
incident
electron
beam
scintillator
Figure 36: Schematic diagram of a scintillator tube used for the detection of
secondary electrons.
ultra-high vacuum system. This permits the analysis of the lighter elements
down to C.
The major disadvantage of EDX is its ability to operate in the pulse
counting mode and detect simultaneously the characteristic x-rays for all
elements above F in the Periodic Table. A full spectrum may be obtained in
0.5
en
c ....
•.: c: 0.4
.....-
Q,)Q,)
(U .5:!-
~o
....
0 ....
0Q,) -
W\,
0.3
~CJ 0.2
m
0.1
-.scan
Electron
beam
1
l H.'J. bias
1 \
l
Si(Li)
detect ~_ _- - J
I
CRT I
vdeo dispay
\ \
\' I
'
\\ I
\\1
t
I
- - --
t
Linear
amplifier
Figure 38: Diagram illustrating the detection of electron beam excited x-rays
with a solid state lithium doped silicon detector.
Characterization of Semiconductor Materials 645
a much shorter time with the EDX analyzer than with the wavelength
dispersive x-ray (WDX) analyzer but at the expense of energy resolution.
The EDX analyzer has a resolution of approximately 150 eV, whereas, the
WDX analyzer has a resolution of 5 eV. The Li doped Si detector also
requires liquid nitrogen cooling to keep the Li from diffusing and rapidly
degrading the performance. 33
A schematic diagram of the wavelength dispersive detector is shown
in Figure 39. The electron beam excited x-rays interact with a diffraction
crystal which disperses the x-rays. As the crystal is rotated, the different
wavelength x-rays are allowed to enter the detector. A variety of crystals
are used in order to optimize the energy resolution and collection
efficiency of the broad range of x-ray energies for elements Z > 6. The
detection system may be used to generate a spectrum of x-ray intensity
versus wavelength from which the characteristic x-ray lines may be
identified. It may also be operated at a fixed wavelength, so that the
detector output represents an intensity map of the sample surface for one
characteristic x-ray. The most commonly used detector for the WDX
spectrometer is a gas flow proportional counter. When an x-ray enters the
tube through a thin window on the side and is absorbed by an atom of the
gas it causes a photoelectron to be ejected which then loses its energy by
ionizing other gas atoms. The electrons are then attracted to a central wire
which gives rise to a charge pulse.
For bulk samples more than a few micrometers thick, spatial resolution
for elemental analysis does not improve for probes much less than 1
Wavelength, A
Electron
Beam
~ High
Voltage
~
~
speCI~~
Diffraction
Crystal
Figure 39: Diagram illustrating the detection of electron beam excited x-rays
with a wavelength dispersive detector.
646 Semiconductor Materials
Beam
Blanking
Plates
Electron
Lens
Scanning
Coils
Electron
Lens
Vacuum ....ttl.-
System .....--
Spectrometer ....
Specimen
(IC) - ...
- _-
_...---_ _
Figure 40: Schematic diagram of electron beam optical collum equipped with a
retarding field electron spectrometer for voltage contrast measurements.
Characterization of Semiconductor Materials 647
SEM module
EDX signal ~------.... ..~ c::::::r::::t-_--_-_-_--_'..;-.--0 Backscattered
: electron signal
, - .....
: ---0 Secondary
I electron signal
....1_-0 Specimen (absorbed)
current signal
1 -_ _00 Dark-field
signal
Mlcrodlffractlon
Bright-field o--~""""
signal
lattice 1---00 Electron energy loss
Imaging spectrometer signal
\ Ewald
\ sphere
~9--
2! t • 6
~
Ko+20 I
K I
O~
Single /
crystal /
Textured
grains
Random
orientation
Figure 43: Diagram of the electron scattering that occurs from single crystalline,
polycrystalline and randomly oriented films.
Figure 44: TEM micrograph and diffraction patterns of samples with initial com-
position SilTi = 4.5 reacted at (a) 650°; (b) 850° and (c) 1050°C.
Characterization of Semiconductor Materials 651
n
p+
Incident
electron beam
@.,
\'
puuUWk? a Thin specimen
Detector <focal)
plane
, - - '---i-
~ . . - - - - • - - - -1 <Eo)-
B I
t Be(K) edge
~ ~
§
.&
Figure 46: Schematic diagram of a magnetic sector electron energy loss spec-
trometer.
Characterization of Semiconductor Materials 653
X-ray Topography
X-ray topography (XRT) is a technique which provides a photographic
image of the distribution of defects within a crystal. Topographs are
recorded from either transmitted or reflected x-rays.42 Contrast on the
photographic recording medium occurs from either orientation contrast,
where a portion of the crystal is misaligned, or extinction contrast, where
the lattice around a defect is distorted.
X-ray topography can image an entire wafer but at a resolution of not
greater than approximately 1 micrometer under carefully controlled con-
ditions. More typical values are in the 10-20 micrometer range. As illustrated
in Figure 47, the x-ray source is positioned at a distance sufficiently far
from the sample so that the x-rays appearto be collimated. The objective is
to image x-rays that are diffracted by the single crystalline sample at a fixed
Bragg angle. The sample is moved between a set of defining slits at the
appropriate angle in parallel with x-ray sensitive film. When the proper
Bragg angles are chosen the diffracted x-rays expose the film. If precipi-
tates, stacking faults, or other crystal imperfections are present dark
images will appear on the film due to the local change in the diffraction
angle.
XRT is a non-destructive technique which may be utilized for process
evaluation following a series of steps. Figure 48 shows a topograph which
SAMPLE
MOTION OF SAMPLE
AND FILM FOR
TRAVERSE TOPOGRAPH
Figure 48: X-ray topograph of Czochralski grown silicon wafer showing radial
distribution of oxygen precipitates.
was taken from a Czochralski (CZ) grown Si wafer. The CZ growth technique
utilizes a quartz linerwhich gradually dissolves in the Si melt. The dissolved 0
precipitates following high temperature processing steps producing the
swirl pattern observed in the XRT topograph. XRT may be utilized to
monitor the crystal growth process, not realtime but after the wafers are
processed.
XRT is used to identify or study process steps which introduce damage
to the substrate. The x-ray topograph in Figure 49 shows stacking faults
which extend from the identifying label generated on an Si wafer using
laser writing. By analogy, one can imagine using XRT to study processes
which generate damage to getter impurities or high temperature processes
which invariably nucleate precipitates. Because the images of the defects
are 100-1000 times larger than those obtained with electron microscopy,
interpretation is difficult. XRT images only the strain not the defect causing
the strain.
Figure 49: X-ray topograph of silicon wafer following laser scribing showing the
presence of stacking faults.
. . . . . . ._ _- - - - 1 Mirror
_s_o~_~_ce_I~-·--------"----I-~---~
Sample
\\~\\\\ )
Bound States
VB \\\\'\\\\p+p+\\ VB \\\
uPPffiJUJI
hV~
--- --6-0-- -----~hV
Figure 51: Energy level diagram illustrating the population of donor and acceptor
levels in the band gap of silicon at room temperature and 15°K.
impurities commonly found in Si. 43 The stated sensitivities for all the
impurities except C and 0 are for a sample temperature of 12°K. Since C
and 0 are not electrically active in Si, there is no significant gain in
sensitivity obtained by lowering the sample temperature. The FTI R sensi-
tivity is enhanced by using a thick specimen, 5 mm, since it is proportional
Characterization of Semiconductor Materials 657
Sample Thickness - 5 mm
Sample Temperature - 1'i' K except Carbon and Oxygen which were at room
temperature
100 _------+--------i-----"t-1
80
w
o
z 60
~
(fj
z
«
a:
~
40
20 ~----_+_----_i-----t--'
1200 1150 1100 1050
WAVE NUMBERS
0
Figure 52: Infrared absorption spectrum of oxygen in silicon at 300 and 55°K.
658 Semiconductor Materials
that occupy different lattice sites. The use of FTI R to study the interstitial
and substitutional 0 concentrations as a function of the Si substrate
thermal history has been a major tool in the development of process
parameters. 44
FTI R is a powerful tool forthe investigation of compound semiconduc-
tor materials, in addition to Si. The spectra, however, tend to be more
complicated since the impurity atom can occupy multiple sites. Figure 53
illustrates this point with the example of GaAs doped with 28Si and 30Si.
Both the 28Si and 30Si can occupy Ga and As sites resulting in four possible
IR peaks. 45
FTIR has also been used in the determination of Si epitaxial layer
thicknesses in the range from 1 micrometer to 200 micrometers. The
epitaxial layer thickness is proportional to the number of interference
fringe spacings which occur when IR radiation is reflected off of the
15 30Si Ga - 3 0SiAs
lJ...
U. 10
W
o
U
Z
o
.-
0-
cr:
a
~ 5
<t
M
T=----- (4)
2N(v2 - v 1 )
toward zero bias will momentarily collapse the space charge region,
making majority carriers available for capture. When the pulse is turned off
and VR re-established, the ju nction capacitance is reduced because com-
pensating majority carrier charge has been trapped in the space charge
region. This charge can subsequently be excited and sweptfrom the space
charge region by the applied junction potential.
Alternatively, a pulse into forward bias can be used to inject a minority
carrier population. However, any injection pulse using either optical or
voltage excitation introduces both majority and minority carriers. The
relative ratio of minority to majority carriers may be varied by varying the
magnitude of the injected current.
Defect states which are filled during the excitation pulse will return to
their initial state if sufficient excitation energy, thermal or optical, is
present. Such processes can be followed as junction capacitance transients.
The strength of the method is that each defect may be studied independently
through its unique activation energy for carrier emission, cross-section for
carrier capture and cross-section for optical excitation.
The capture of carriers normally proceeds exponentially during an
injection or zero bias pulse. By measuring the capacitance as a function of
pulse duration, it is easy to obtain the capture rate from the slope of log [Coo
- C(T)l vs Twhere T is the duration of the pulse. For majority carrier capture,
the cross-section is obtained from the expression
(5)
N = 6C . N
T 2C 0 (6)
Photoluminescence Spectroscopy
Photoluminescence (PL) Spectroscopy is a measure of the intensityof
radiation versus wavelength emitted as a result of radiative recombination
of electron-hole pairs or excitons excited from their thermal equilibrium
states by optical excitation. 49 An electron-hole pair excited from the
Characterization of Semiconductor Materials 661
CONDUCTION BAND - - •
- -
• • -
hv
10 2 c--------------------.
10'
0
+=
e
~
:t:
Ul
c 10- 1
~
c:
-I
CL
10- 2
10-3 a.-...L-.............~___I..............."""_'UoI..6__"'__'_"".............._"_l~...........
-... ....>-
>-
t il
Z
-
Vl
Z
W W
.... .... 600·C .. ~
Z Z -----
50~
w w
u u
z z
w w
U U
1Il til
L&J W
Z
~
:J
-
Z
~
:J
..I ..J
Figure 56: PL spectra measured at liquid helium temperature (a), and at room
temperature (b) as a function of annealing temperature.
664 Semiconductor Materials
1 CZ-Si: B
.
::»
a
.
t-
>-
....t-
V)
Z
ILl
C
....
16' ~......,~_~_..a.-_..&.-_..-..-_.-.-_-..
o 400 500 600 700 800 900
TEMPERATURE ( ·C )
Figure 57: Relation between room temperature PL intensity and etch-pit density
estimated by the Wright-etch method.
target isotope. The intensity of the beta or gamma radiation from the
radioactive product is measured as a function of energy. Isotope identifi-
cation is based on the characteristic energy of the beta or gamma radiation.
The calculations upon which NAA are based yield the number of impurity
atoms in the sample. A knowledge of sample volume yields concentration.
Na
As Medium Half-Life
Ag Predominately Gamma-Ray
Emitters
Au Large Cross-Section
Na Half-Life 24 Na - 15 hrs
Zn
S 3 13 has No Gamma-Rays
Se
The measure of the analyzed volume or area is often the major limitation to
accuracy and precision. 53
The detection limit for NAA is 5 X 10 10 atoms/cm 3 for Au in Si. This is the
ideal case where Au has a large cross-section for activation and is predomi-
nantly a gamma-ray emitter while in a host matrix with a short half-life.
Table 7 lists elements that were detected by NAA in Czochralski grown Si
along with the sensitivity. Factors which affect sensitivity adversely include
long half-life which lowers the count rate, short half-life where the isotope
decays before sufficient time is available for getting the sample into the
counting chamber and low isotopic abundance. Table 8 provides a similar
listing of elements that were detected in semi-insulating GaAs. The appli-
cation of NAA to GaAs is less favorable than to Si, since As and Ga have
significantly longer half-lives.
NAA is one of the most sensitive and accurate bulk analysis techniques.
Although it is not always readily accessible, it is frequently used to calibrate
other analytical techniques. One major weakness is the insensitivity of
NAA for many for light elements.
Activation analysis can be extended to light elements through the use
of accelerators as was described for NRA. Carbon and oxygen in Si were
studied using charged particle activation analysis. 54 The resu Its, verifythat
the C and 0 content offloat zone grown Si is lowerthan that of Czolchralski
grown material. Charged particle activation analysis like NAA may not be
easily accessible but it is frequently used as a technique to calibrate other
analytical tools.
REFERENCES
1. G.E. McGuire and P.H. Holloway, "Applications of Auger Spectroscopy in
Materials Analysis", in Electron Spectroscopy: Theory, Techniques and Appli-
Characterization of Semiconductor Materials 667
cations, Vol. 4, C.R. Brundle and A.D. Baker, editors, Academic Press, New
York (1981).
2. L.A. Harris, J. Appl. Phys. 39: 1419 (1968).
3. N.E. Erickson and C.J. Powell, Surf. Interface Anal. 9:111 (1986).
4. M.P. Seah and W.A. Dench, Surf. Interface Anal. 1:1 (1979).
5. S. Hofmann, "Depth Profiling" in Practical Surface Analysis by Auger and X-ray
Photoelectron Spectroscopy, D. Briggs and M.P. Seah, editors, John Wiley
and Sons, New York (1983).
6. V.J. Kapoor, R.S. Bailey and S.R. Smith, J. Vac. Sci. Technol. 18:305 (1981).
7. G.P. Schwartz, G.T. Gualtieri, G.W. Kammlott and B. Schwartz, J. Electrochem.
Soc. 126:1737-1749 (1979).
8. M.T. Thomas, D.R. Baer, R.H. Jones and S.M. Bruemmer, J. Vac. Sci. Technol.
17:25-28 (1980).
9. G.E. McGuire, W.R. Wisseman, and P.H. Holloway, J. Vac. Sci. Technol. 15:1701
(1978).
10. C.C. Chang, S.P. Murarka, V. Kumar, and G. Quintana, J. Appl. Phys. 46:4237
(1975).
11. M.P. Seah, Surf. Interface Anal. 9:85 (1986).
12. C.S. Fadley, "Basic Concepts of X-ray Photoelectron Spectroscopy" in Electron
Spectroscopy: Theory Techniques and Applications, Vol. 2, C.R. Bundle and
A.D. Baker, editors, Academic Press, New York (1978).
13. U. Gelius, L. Asplund, E. Basilier, S. Hedman, K. Helenelund and K. Siegbahn,
Nucl. Inst. a. Meth. B1 :85 (1984).
14. I.W. Drummond, T.A. Cooper and F.J. Street, Spectrochim. Acta 40B:809 (1985).
15. C.D. Wagner, W.M. Riggs, L.E. Davis, J.F. Moulder and G.E. Muilenberg, Handbook
of X-ray Photoelectron Spectroscopy, Perkin-Elmer Corp., Minnesota (1978).
16. W.E. Swartz, K.J. Wynne and D.M. Hercules, Anal. Chem. 43: 1884 (1971).
17. P.J. Grunthaner, F.J. Grunthaner, and A. Madhukar, J. Vac. Sci. Technol. 20:680
(1982).
18. C.D. Wagner, L.E. Davis, M.V. Zeller, J.A. Taylor, R.M. Raymond and L.H. Gale,
Surf. Interface Anal. 3:211 (1981).
19. C.W. Magee and R.E. Honig, Surf. Interface Anal. 4:35 (1982).
20. C.A. Andersen and J.R. Hinthorne, Anal. Chem. 45: 1421-1438 (1973).
21. C.W. Magee, J. Electrochem. Soc. 126:660-663 (1979).
22. C.W. White, J. Narayan and R.T. Young, "Laser Solid Interactions and Laser
Processing", AlP Conference Proceedings 50, D. Ferris, H.J. Leamy and J.M.
Poate, editors, American Institute of Physics, New York (1975).
23. D.J. Coleman, D.W. Shaw and R.D. Dobrott, J. Electrochem. Soc. 124:239-241
(1977).
24. C.H. Becker and K.T. Gillen, J. Vac. Sci. Technol. 3A:1347 (1985).
25. J.E. Parks, D.W. Beekman, H.W. Schmitt and E.H. Taylor, Nucl.lnst. Meth. in Phys.
Res. B1 0/11 :280-284 (1985).
26. G.S.Hurst, M.G. Payne, S.D. Kramer and J.P. Young, Rev. Mod. Phys. 51 :767
(1979).
27. S. Mayo, T.B. Lucatorto and G.G. Luther, Anal. Chem. 54:553-556 (1982).
28. W.K. Chu, J.W. Mayer, and M.A. Nicolet, Backscattering SpectrometrY,Academic
Press, New York (1978).
29. L.C. Feldman, J.W. Mayer, and S.T. Picraux, Materials Analysis By Ion Channel-
ing, Academic Press, New York (1982).
30. C.D. Lien, M.A. Nicolet and S.S. Lau, Phys. Stat. Sol. 81 :123-128 (1984).
31. C.R. Helms, J. Vac. Sci. Tech. 20:950 (1982).
32. J. Narayan and D.W. Holland, J. Electrochem. Soc. 131 :2651-2662 (1984).
33. J.1. Goldstein, D.E. Newbury, P. Echlin, D.C. Joy, C. Fiori and E. Lifshin, Scanning
Electron Microscopy and X-ray Microanalysis, Plenum Press, New York (1981).
668 Semiconductor Materials
Acceptors - 27 Channel - 1, 4
Adsorption current - 4
adatom - 412,421 Charge - 58, 59
binding energy - 411 fixed oxide - 58
Amphoteric - 362 mobile ionic - 58
Atomic mobility - 96, 102 oxide trapped - 59
Auger electron spectroscopy Chemical vapor deposition (CVD) -
(AES) - 6, 611-617 6,80
analysis - 67,70,178,294 boron nitride - 115, 122
chemical shift - 614 polycrystalline silicon - 106
cylindrical mirror analyzer - Si -82,94
613 Silicon carbide - 106, 115, 122
detection limit - 616 Si0 2 -75, 106, 115
energy level diagram - 611 Si 3 N4 - 75, 105, 106, 115
profiling - 66, 614 Chemisorption - 333, 421
Child-Langmuir Law - 209, 397
Bipolar devices - 65, 87, 576, CMOS - 89
581,587,590,603,605 Collector - 111
Boltzmann constant - 464 Condensation - 410
Conductivity - 308
Capacitance, parasitic - 106, Crucible-12, 19,20
149,592 Crystal Growth
Capacitance-voltage technique - Czochralski - 9
59,71 float-zone - 9, 20, 475
Carrier seed crystal - 12, 82
concentration - 27 Crystallographic orientation - 84,
velocity - 27 133
669
670 Semiconductor Materials