Vlsi Design
Vlsi Design
Teaching Aid:
Text Books:
1. John P. Uyemura, Chip Design for Submicron VLSI: CMOS layout and simulation, Cengage Learning
India Private Ltd, 6th Indian Reprint2010.
2. Samir Palnitkar, Verilog HDL A Guide to Digital Design and Synthesis, 2nd edition, Pearson
Education,2008.
References Books:
1. Neil H.E. Weste and Kamran Eshraghian, Principles of CMOS VLSI Design, Pearson Education
4th edition,2011.
2. Kamran Eshraghian, Douglas A. Pucknell and Sholeh Eshraghian, Essentials of VLSI Circuits and
Systems, Prentice Hall of India Pvt Ltd,2013.
3. Wayne Wolf, Modern VLSI Design System–On–Chip, PHI, 3rd edition,2007.
4. John P. Uyemura, Introduction to VLSI Circuits and Systems, John Wiley & Sons,2002.
5. Bhasker.J, Verilog HDL Primer, BS publication,2002.
6. http://nptel.ac.in/courses/108101089/108108111
7. http://nptel.ac.in/syllabus/syllabus.php?subjectId=117108041
UNIT I
INTRODUCTION TO MOS TRANSISTOR (CO1)
1. What are four generations of Integration Circuits? (Remembering)
SSI (Small Scale Integration) , MSI (Medium Scale Integration) , LSI (Large Scale Integration) ,
VLSI (Very Large Scale Integration)
2. Give the advantages of IC? (Remembering)
Size is less, High Speed, Less Power Dissipation
3. Give the variety of Integrated Circuits? (Remembering)
More Specialized Circuits, Application Specific Integrated Circuits (ASICs) , Systems- On-Chips
4. Give the basic process for IC fabrication (Remembering)
Silicon wafer Preparation, Epitaxial Growth, Oxidation, Photolithography, Diffusion, Ion
Implantation, Isolation technique, Metallization, Assembly processing & Packaging
5. What are the various Silicon wafer Preparation? (Remembering)
Crystal growth & doping, Ingot trimming & grinding, Ingot slicing, Wafer polishing & etching,
Wafer cleaning.
6. Different types of oxidation? (Remembering)
Dry & Wet Oxidation
7. What is the transistors CMOS technology provides? (Remembering)
N-type transistors & p-type transistors.
8. What are the different layers in MOS transistors? (Remembering)
Drain, Source & Gate
9. What is Enhancement mode transistor? (Remembering)
The device that is normally cut-off with zero gate bias.
10. What is Depletion mode Device? (Remembering)
The Device that conduct with zero gate bias.
11. When the channel is said to be pinched–off? (Understanding)
If a large Vds is applied this voltage with deplete the Inversion layer. This Voltage effectively
pinches off the channel near the drain.
12. Give the different types of CMOS process? (Remembering)
P-well process, N-well process, Silicon-On-Insulator Process, Twin- tub Process
13. What are the steps involved in twin-tub process? (Remembering)
Tub Formation, Thin-oxide Construction, Source & Drain Implantation, Contact cut
definition, Metallization.
14. What are the advantages of Silicon-on-Insulator process? (Remembering)
No Latch-up, Due to absence of bulk transistor structures is denser than bulk silicon.
15. What is BiCMOS Technology? (Remembering)
It is the combination of bipolar technology & CMOS technology.
16. What are the basic processing steps involved in BiCMOS process? (Remembering)
Additional masks defining P base region, N Collector area, Buried Sub collector (SCCD) ,
Processing steps in CMOS process
17. What are the advantages of CMOS process? (Remembering)
Low power Dissipation, High Packing density, Bi directional capability
18. What are the advantages of CMOS process? (Remembering)
Low Input Impedance, Low delay Sensitivity to load.
19. What is the fundamental goal in Device modeling? (Remembering)
To obtain the functional relationship among the terminal electrical variables of the device
that is to be modeled.
20. Define Short Channel devices? (Remembering)
Transistors with Channel length less than 3- 5 microns are termed as Short channel devices.
With short channel devices the ratio between the lateral & vertical dimensions are reduced.
21. What is pull down device? (Remembering)
A device connected so as to pull the output voltage to the lower supply voltage usually 0V is
called pull down device.
22. What is pull up device? (Understanding)
A device connected so as to pull the output voltage to the upper supply voltage usually VDD is
called pull up device.
23. What is Latch –up? (Understanding)
Latch up is a condition in which the parasitic components give rise to the establishment of
low resistance conducting paths between VDD and VSS with disastrous results. Careful control
during fabrication is necessary to avoid this problem.
24. How do you prevent Latch up problem? (Understanding)
Latch up problem may be prevented in two basic ways,
1. Latch up resistance CMOS process 2.Layouttechniques
25. List any two types of layout design rules. (Remembering)
λ- rule, µ- rule.
26. What are the common materials used as mask? (Remembering)
Photoresist , twin dioxide (SiO2), Polisilicon (polycrystalline silicon), Silicon nitrate (SiN).
27. Mention the four main CMOS technologies. (Remembering)
N-well process, p-well process, twin tub process, silicon on insulator.
28. Define Delay time(Remembering)
Delay time, td is the time difference between input transition (50%) and the 50% output
level. This is the time taken for a logic transition to pass from input to output.
29. What are two components of Power dissipation? (Remembering)
There are two components that establish the amount of power dissipated in a CMOS circuit.
These are: (i)Static dissipation due to leakage current or other current drawn continuously
from the power supply. (ii)Dynamic dissipation due to a. switching transient current, b.
Charging and discharging of load capacitances.
30. State any two differences between CMOS and Bipolartechnology. (Understanding)
CMOS Technology Bipolar technology
• Low static power dissipation • High power dissipation
• High input impedance (low • Low input impedance (high
drive current) drive current)
• Scalable threshold voltage • Low voltage swing logic
• High noise margin • Low packing density
• High packing density • Low delay sensitivity to load
• High delay sensitivity to load(fan-out • High output drive current
limitations)
• Low output drive current • High gm (gm aeVin)
• Low gm (gm aVin) • High ft at low current
• Bidirectional capability • Essentially unidirectional
• A near ideal switching device
31. Define rise time and fall time. (Remembering)
Rise time tr is the time for a wave form to rise from 10%to 90% of its steady state value. Fall
time tf is the time for a waveform to fall from 90% to 10% of its steady state value.
32. Why NMOS technology is preferred more than PMOS technology? (Understanding)
NMOS transistors have greater switching speed when compared to PMOS transistors.
33. What are the different operating regions foes an MOS transistor? (Remembering)
Cutoff region, Non- Saturated Region, Saturated Region
34. What are the different MOS layers? (Remembering)
n-diffusion, p-diffusion, Polysilicon, Metal.
35. Define Threshold voltage in MOS? (Remembering)
The Threshold voltage, VT for a MOS transistor can be defined as the voltage applied
between the gate and the source of the MOS transistor below which the drain to source
current, IDS effectively drops to zero.
36. What is Body effect? (Understanding)
The threshold voltage VT is not a constant w. r. to the voltage difference between the
substrate and the source of MOS transistor. This effect is called substrate-bias effect or body
effect.
37. What is Channel-length modulation? (Understanding)
The current between drain and source terminals is constant and independent of the applied
voltage over the terminals. This is not entirely correct. The effective length of the conductive
channel is actually modulated by the applied VDS, increasing VDS causes the depletion region
at the drain junction to grow, reducing the length of the effective channel.
UNIT – II
NMOS AND CMOS INVERTER AND GATES(C02)
1. Give the CMOS inverter DC transfer characteristics and operating regions (Understanding)
.
7. What are the types of gate arrays in ASIC? (Remembering)
Channeled gate arrays, Channel less gate arrays, Structured gate arrays
8. Give the classifications of timing control? (Remembering)
Methods of timing control: 1.Delay-based timing control 2. Event-based timing control 3. Level-
sensitive timing control
Types of delay-based timing control: 1. Regular delay control 2. Intra-assignment delay
control 3. Zero delay control
Types of event-based timing control: 1. Regular event control 2. Named event control 3. Event
OR control 4. Level-sensitive timing control
9. Give the different arithmetic operators? (Remembering)
Data(D) Q(out)
F/F
Clk
Module DFF (Q,D,clk);
Input D,clk ;
Output Q;
reg Q;
always @ (pos edge clk)
Q=D
end module;
22. What is the difference between module and instance?
Module: basic building block
Instance: an instance of module has a unique identity and is different from other instance of
the same module. Each instance has an independent copy of internals of module.
23. Give an example for implicit continuous assignments.
Drive values to a net
– assign out = a&b ; // and gate
– assign eq = (a==b) ; //comparator
– wire #10 inv = ~in ; // inverter with delay
– wire [7:0] c = a+b ; // 8-bitadder
24. Write the Verilog module for a 1 bit full adder.(Apply)
Module a(a, b, c, sum, carry);
input a,b,c;
output sum,carry;
wire d,e,f;
xor(sum,a,b,c);
and(d,a,b);
and(e,b,c);
and(f,a,c);
or(carry,d,e,f);
endmodule
25. What are the delay specification available in Verilog HDL for modeling a logic Gate Specify
propagation delay only (Understanding)
gatename #(prop_delay) [instance_name](output, in_1,in_2,…);
Specify both rise and fall times
gatename #(t_rise, t_fall) [instance_name](output, in_1,in_2,…);
Specify rise, fall, and turn-off times (tristate buffers)
gatename #(t_rise, t_fall, t_off) [instance_name](output,in_1, in_2,…);
26. Write the Verilog code to swap contents of two registers with/without a temporary register
With temp reg ; (Understanding)
always @ (posedge clock)begin
temp=b;b=a;a=temp;end
Without temp reg;
always @(posedge clock)begin
a <= b;b <= a;end
27. What are the difference between blocking and non-blocking assignments(Understanding)
The Verilog language has two forms of the procedural assignment statement: blocking and
non-blocking. The two are distinguished by the = and <= assignment operators. The blocking
assignment statement (= operator) acts much like in traditional programming languages.
The whole statement is done before control passes on to the next statement. The non-
blocking (<= operator) evaluates all the right-hand sides for the current time unit and
assigns the left-hand sides at the end of the time unit.
module blocking;
reg [0:7] A, B;
initial begin: init1
A = 3;
#1 A = A + 1; // blocking procedural assignment
B = A + 1;
$display("Blocking: A= %b B= %b", A, B ); A = 3;
#1 A <= A + 1; // non-blocking procedural assignment
B <= A + 1;
#1 $display("Non-blocking: A= %b B= %b", A, B );
end
endmodule
28. What is meant continuous assignments in Verilog HDL?
Continuous assignments are the most basic assignment in dataflow modeling. Continuous
assignments are used to model in combinational logic. It drives values into the nets.
Simplified Syntax
net [strength] [range] [delay] identifier = net or register ;
assign [strength] [delay] net = net or register ;
29. Give the design for defining the module? (Understanding)
Module design_name (portlist);
Input list;
Output list;
Inout list;
Endmodule
30. List out rules of identifiers?
Can contain alphanumeric or underscore characters or dollar sign.
May use any character by escaping with a black Slash at beginning of the identifier and
terminating with whitespace.
Can be upto 1024bytes
Cannot contain whitespace
31. What is the difference between === and ==?(Understanding)
“==” it is logical equality.
“===” it is case equality.
output of "==" can be 1, 0 or X.
output of "===" can only be 0 or 1.
When you are comparing 2 nos using "==" and if one/both the numbers have one or more
bits as "x" then the output would be "X" . But if use "===" outpout would be 0 or 1.
e.g A = 3'b1x0
B = 3'b10x
A == B will give X as output.
=== B will give 0 as output.
"==" is used for comparison of only 1's and 0's .It can't compare Xs. If any bit of the input is X
output will be X
"===" is used for comparison of X also.
32. What is the difference between wire and reg? (Understanding)
Net types: (wire, tri)Physical connection between structural elements. Value assigned by a
continuous assignment or a gate output. Register type: (reg, integer, time, real, real time)
represents abstract data storage element. Assigned values only within an always statement or an
initial statement. The main difference between wire and reg is wire cannot hold (store) the
value when there no connection between a and b like a->b, if there is no connection in a and b,
wire loose value. But reg can hold the value even if there in no connection. Default values: wire is
Z,reg isx.
BIG QUESTIONS:
1. With neat diagrams explain the steps involved in the p-well process of CMOS fabrication.
2. With a neat diagram explain the steps involved in the n-well process of CMOS fabrication.
3. Describe in detail with neat sketches the Twin Tub method of CMOS fabrication.
4. Discuss the lambda based design rules for nMOS transistor.
5. With neat diagram of Latch-up effect in p-well structure explain Latch-up problem and the steps
involved to overcome it.
6. Explain with neat diagrams the various CMOS fabrication technology.
7. Explain the latch up prevention techniques.
8. Explain with neat diagram the SOI process and mention its advantages.
9. Explain about CMOS interconnections with diagram.
10. Explain the design hierarchies.
11. Explain the operation of PMOS Enhancement transistor with neat diagram.
12. What is threshold voltage? Derive threshold voltage equation.
13. Explain the operation of NMOS Enhancement transistor with neat diagram.
14. Explain the Transmission gate and the tri state inverter briefly.
15. Explain about the various non ideal conditions in MOS device model.
16. Derive the expression for DC characteristics of CMOS inverter.
17. Explain the small signal AC characteristics of MOS transistor.
18. Derive the equation for threshold voltage of a MOS transistor and threshold voltage in terms of
flat band voltage.
19. Derive the pull-up to pull down ratio for a nMOS inverter driven by another Nmos inverter.
20. Explain Pass transistor and Transmission gates with neat sketches.
21. Draw the stick and layout diagrams of an nMOS inverter.
22. Explain body effect in detail.
23. What are regions applicable in MOS transistor and write the equation?
24. Explain the transmission gate of nMOS pass transistor.
25. Explain tri state inverter with neat sketches.
26. What is latch? Explain in detail about its types and operation.
27. Explain in detail about bit level register.
28. Explain the operation of SR-latch and D-latch.
29. What is flip flop? Explain the operation of master-slave D-flip flop in detail.
30. Draw the architecture of dynamic DFF and Explain in detail.
31. Explain the read and write operations of static RAM cell with a CMOS circuit diagram. Draw its
layout.
32. Explain in detail about dynamic logic and domino logic.
33. Write notes on SR logic and DRAMs. Explain read, write and hold operations performed by using CMOS
SRAM cell.
34. Explain the functions of static RAM cell with its SPICE simulation.
35. ExplainbrieflyaboutthestructureofdynamicRAMcell.Howtheread,write, hold and refresh
operations take place in a DRAM cell.
36. Design two input AND gate using DOMINO logic. Also explain how domino logic is cascaded
with different function
37. Explain the concept involved in structural gate level modeling and also give the description for
half adder and Full adder.
38. Write a verilog program for 3 to 8 decoder in gate level description.
39. What are the difference between behavioral and RTL modeling?
40. Write a verilog program for 8 bit full adder using one bit full adder. The one bit full adder should
be written in behavioral modeling.
41. Explain in detail behavioral and RTL modeling.
42. Write the structural gate level description of decoder.
43. Explain the syntax of conditional statements in verilog HDL with examples.
44. Write the structural gate level description of equality detector.
45. Explain the concept of gate delay in VERILOG with example.
46. Write the structural gate level description of comparator.
47. Write the structural gate level description of priority encoder.
48. Explain the concept involved in Timing control in VERILOG.
49. Write the structural gate level description of D-latch.
50. Write the program using verilog HDL to implement a full adder circuit.
51. With a neat flow chart explain the VLSI design flow.
52. Write the structural gate level description of D flip flop.
53. Write a ripple carry adder program in verilog with the help of 1 bit full adder.
54. Explain gate level primitives and its design note.
55. Write the verilog program for the following using conditional and behavioral modeling.
4 bit equality detector, ii. 4 bit magnitude comparator.
Reg. No. :
Fifth Semester
(Regulations 2016)
1.Make a difference between Enhancement mode and Depletion Mode MOS transistors.
3.Draw the circuit diagram for two input NAND gate using CMOS transistors.
10.Write the Verilog Code for D flip-flop using behavioural level modelling.
PART B –– (5 x 16 = 80 Marks)
11. (a) (i) Derive the drain current of MOS device in different operating regions. (8)
(ii) Analyze the second order effects in MOS devices. (8)
(OR)
(b) Give a brief note on CMOS and PMOS fabrication steps with necessary diagram. (16)
12. (a) Explain Complementary MOS inverter DC characteristics with neat diagram. (16)
(OR)
(b) Develop the necessary stick diagram and layout for the design of NAND and NOR (16)
gates.
13. (a) (i) Construct the following function using CMOS transistors F = (a. b + c. d. e)’ (8)
(ii) Illustrate the model of transmission gates with neat diagram. (8)
(OR)
(b) (i) Write short notes on static and dynamic power dissipation. (8)
ii) Write short notes on tristate circuits (8)
15. (a) (i) Write the gate level structural description for 4-bit binary adders. (8)
(ii) Write the Verilog code for 4to1 Multiplexer using behavioral level modeling. (8)
(OR)
(b) Construct and write the Verilog code for universal shit registers using structural level (16)
modeling.
**********