Design and Leakage Power Optimization of 6T Static
Design and Leakage Power Optimization of 6T Static
░ ABSTRACT- Reduction of Leakage power at nano meter regime has become a challenging factor for VLSI designers. This
is owing to the need for low-power, battery-powered portable pads, high-end gadgets and various communication devices. Memories
are made up of Static RAM and Dynamic RAM. SRAM has had a tremendous impact on the global VLSI industry and is preferred
over DRAM because of its low read and write access time. This research study proposes a new method has been proposed of 6T
Static Random Access Memory cell to decrease the leakage current at various technologies. Three source biasing methods are used
to minimize the 6T SRAM cell leakage power. The three methods are NMOS diode clamping, PMOS diode clamping and NMOS-
PMOS diode clamping at 45 nm and 90 nm technology nodes. This paper also emphasizes on the implementation of 6T SRAM cell
using Multiple Threshold CMOS (MTCMOS) technique at 45nm technology. The simulation is achieved and various power
dissipations are analyzed at supply voltage of 0.9 V and 0.45 V for 90 nm and 45 nm technology respectively using cadence virtuoso
tool. PMOS clamping has shown the reduction in an average power by 82.19% than compared to other two proposed techniques.
Keywords: Clamping diode, Forward body bias, Low Power, MTCMOS, Reverse body bias, Source biasing.
This technique makes use of multiple threshold voltages high The cell's three phases of operation are as mentioned below
and low threshold voltages 𝑉𝑡ℎ to optimize power and delay as [19].
shown in figure 1. High threshold transistor switch slower
thereby reduces the leakage power. While low threshold Read Operation: During this, bit lines (BL and BLB) act as
transistors switch faster but have high leakage power. output lines and both are pre-charged with certain voltage
MTCMOS makes use of high threshold voltage transistors normally Vdd/2 (logic 1). When the word line is asserted, both
known as sleep transistors. During the active mode of operation, the access transistors M5 and M6 linked to the bit lines are
these are ON and the circuit will behave normally. While in enabled, causing the bit-line voltages to decrease slightly. The
sleep mode, these transistors are OFF thereby reducing the output of these bit line voltages is send to sense amplifier which
leakage power [17]. These sleep transistors aims in reduction of acts as an op amp comparator. It compares the difference
standby leakage power to larger extent during the OFF state of between BL and BLB. If voltage across BL>BLB, it outputs a
SRAM cell logic 1 and if voltage across BL<BLB, it outputs a logic 0. The
advantage of using a sense amplifier is that it sets the output
░ 4. CONVENTIONAL 6T SRAM CELL quickly without fully charging or discharging.
Static RAM memory cells are made up of two inverters that are Write Operation: Bit lines act as input lines during the write
cross coupled as seen in Figure 2 [18]. The inverter2’s output process. The value that is to be written into the cell is provided
(Q’) is connected to the inverte1’s input (A). When the voltage by these bit lines. The word line will be asserted to logic high
transfer characteristics of the first inverter (VoA v/s ViA) are to access the bitlines i.e. WL=1. If logic 1 is to be written, bit
compared with those of the second inverter (VoB v/s ViB), line bar is loaded to supply rail VDD while bit line is discharged
three alternative operating locations (A, B, and C) are obtained. to low potential, and Word line is asserted, resulting in
Because the loop gain is less than one, the operation points A successful data (logic 1) writing into the cell. If logic 0 is to be
and B are stable. Inverter one's output is high, while inverter written, BLB is discharged to ground potential, while BL is
two's output is low, as shown in point A. Inverter one's output loaded to VDD and Word line is asserted, resulting in successful
is low, but inverter two's output is high, as seen in point B. data (logic 0) writing into the cell.
Hold Operation: During the hold or ideal state, the word line By adding an extra high 𝑉𝑡ℎ NMOS transistor between the
is not connected i.e. WL=0 which does not turn on the access SRAM cell's source line 𝑉𝑠𝐿 and Ground (GND), leakage current
cells M5 and M6. This open circuits the cross coupled inverter across a 6T SRAM cell is considerably decreased. During active
from the bit lines (BL, BLB) and thereby the data is held in the state, word line is activated (WL=1) which turns ON the NMOS
memory cell. Hence is it said to be in hold state or ideal state as transistor and the SRAM cell behaves normally, since the
data is held in the latch mode. As long as the semiconductor is resistance is smaller across the circuit. During the standby state,
connected to the power source, it will continue to store the data. word line is deactivated (WL=0) which turns OFF the NMOS
transistor thereby the source line (𝑉𝑠𝐿 ) will be raised to greater
░ 5. PROPOSED 6T SRAM CELL potential that results in decreasing the subthreshold leakage
6T SRAM cell is implemented employing Cadence virtuoso current and gate leakage.
software is as shown in figure 4 at 90vnm and 45 nm technology
nodes and various power parameters such as average power and
leakage power are calculated. The idea for this implementation
shows three different methods to minimize the leakage current
in 6T SRAM cell. The traditional 6T SRAM device is as
depicted in figure 4. The proposed work employs clamping of
a) one extra high 𝑉𝑡ℎ NMOS transistor as shown in figure 5, b)
one extra high 𝑉𝑡ℎ PMOS transistor as shown in figure 6 c) a
pair of high 𝑉𝑡ℎ NMOS-PMOS transistors in between the
source terminal and ground of SRAM cell as shown in figure 7.
The schematic of standard 6T SRAM cell is shown in figure. 4
that comprises of two cross coupled inverters along with two
access transistors thereby forming six transistors.
A pair of NMOS and PMOS high threshold voltage transistors standby (Sleep) mode, these high 𝑉𝑡ℎ sleep devices are turned
inserted in parallel between the source terminal and ground OFF while the conduction path that may arise from low 𝑉𝑡ℎ
terminal of SRAM cell is as depicted in figure 7. The transient SRAM device is smartly cutoff thereby reducing the leakage
analysis of 6T SRAM device is as shown in figure 8. The test current.
schematic where the voltages are applied the inputs WL, BL and
BLB is as available in figure 9. The symbol of 6T SRAM cell
which is generated from the schematic is as shown in figure 10.
░Table 3: Leakage Power Analysis of 6T SRAM Cell gate technology”, in International Symposium on VLSI Technology,
Systems, and Applications, pp. 64-7, Oct. 2003.
6T_SRAM
6T_SRAM 6T_SRAM [10] S. Zhao, A. Chatterjee, S. Tang, J. Yoon, S. Crauk, H. Bu, et al.
Technology 6T SRAM NMOS
NMOS PMOS “Transistor optimization for leakage power management in a 65nm
PMOS CMOS technology for wireless and mobile applications”, in Symposium
90nm 1.65E-08 5.02E-09 4.58E-12 5.12E-09 on VLSI Technology Digest of Technical Papers. pp. 14-5, Jun. 2004.
45nm 1.77E-12 1.35E-12 1.54E-12 1.53E-12 [11] S. P. Anandaraj, N. Kirubakaran, S. Ramesh,”Efficient Way to Detect
Bone Cancer using Image Segmentation”,International Journal of
░Table 4: Leakage Power Analysis of 6T SRAM Cell using Engineering and Advanced Technology (IJEAT) ISSN: 2249 – 8958,
Volume-8, Issue-6S3, September 2019
MTCMOS Technique at 45nm
[12] K. Flautner, N.S. Kim, S. Martin, D. Blaauw, and T. Mudge, “Drowsy
Average Power Leakage Power caches: Simple techniques for reducing leakage power”, in Proceedings
6T SRAM 1.96E-10 1.77E-12 29th Annual International Symposium on Computer Architecture,
MTCMOS 1.56E-11 1.59E-12 Anchorage, AK, pp. 148-57, May. 2002.
[13] P. Kalyani, Dr. M. Madhavi and Dr. P. Chandra, “Analysis of MOS
7. CONCLUSION transistor behavior with Forward and Reverse Body biasing in
Subthreshold region”, in International journal of Applied Engineering
This paper describes the leakage power minimization methods Research, ISSN 0973-4562, V-13, PP-14236-14240, 2018.
that include body biasing, DTMOS, MT-CMOS and source
[14] N. Pandit, A. Dhananjaya, “Optimization of Delay and Leakage using
biasing, for 6T SRAM cell at various technology nodes. We Body Bias”, International Journal of Engineering & Technology (IJERT),
initially compare the conventional 6T Static Ram Memory Cell- ISSN-2278-0181, V-2, June-2013.
SRAM cell with the proposed technique to minimize the power [15] W. Chen, Z. Li-Jun, W. Yong, Z. Jian-Bin, “SRAM Power Optimization
of the circuit. Section 2 emphasizes the various components of with a Novel Circuit and Architectural Level Technique”, IEEE
leakage current. Section 3 describes various leakage power transaction, 2010.
reduction techniques. Section 4 describes the standard 6T [16] F. Assaderaghi, D. Sinitsky, S. Parke, J. Bokor, P. K. Ko, and C.Hu, “A
SRAM Cell. Section 5 describes the proposed 6T SRAM cell dynamic threshold voltage MOSFET (DTMOS) for ultra-low voltage
operation,” Dig. Tech. Papers IEEE Int. Electron Devices Meeting, pp.
which utilizes the clamping unit and power gating MTCMOS 809–812, 1994.
technique to reduce the leakage power at different technology
[17] S.Vasantha swaminathan,”Design and Implementation of Kogge Stone
nodes. adder using CMOS and GDI Design: VLSI Based”, International Journal
of Engineering and Advanced Technology (IJEAT) ISSN: 2249 – 8958,
░ REFERENCES Volume-8 Issue-6S3, September 2019
[1] H. Faith, Z. Kevin, W. Yith, J. Hong, B. Uddalak, Zhanping , G. Yong, P. [18] K. Pratiksha, M. Punithra, H. Nalina, M. Preethana, K. Kumari, “Low
Andrei, S. Ken and B. Mark “A 3.8 153 Mb SRAM Design With Dynamic Power 6T SRAM Design using 45nm Technology”, IJERT, ISSN-2278-
Stability Enhancement and Leakage Reduction in 45 nm High-k Metal 0181, NCCDS-2020.
Gate CMOS Technology,” IEEE Journal of Solid-State Circuits, Vol.44, [19] T. Tuan, Y. Jeremy, Y. Joshua, K. Zhi-Hui, T. Xiaoliang, and Y. Kiat-
No. 1, January 2009. Seng,”An 8T Differential SRAM with Improved Noise Margin for Bit-
[2] S. Banu and S. Gupta, "The Sub-Threshold Leakage Reduction Interleaving in 65 nm CMOS” IEEE Transnctions on circuits and systems,
Techniques in CMOS Circuits," 2020 International Conference on Smart Vol. 58, No. 6, June 2011.
Technologies in Computing, Electrical and Electronics (ICSTCEE), 2020, [20] Yogesh Kulshethra, Manish Kule (2019), Design of Low Leakage
pp. 223-228, doi: 10.1109/ICSTCEE49637.2020.9277192. Arithmetic Logic circuit Using Efficient Power Gating Schemes. IJEER
[3] G. Razavipour, A. Afzali-Kusha, and M. Pedram, “Design and analysis of 7(3), 11-18. DOI: 10.73791/IJEER.070301
two low-power SRAM cell structures”, IEEE Transactions on Very Large http://ijeer.forexjournal.co.in/archive/volume-7/ijeer-070301.php
Scale Integration (VLSI) Systems, vol. 17, pp. 1551- 5, Oct. 2009. [21] Tripti Tripathi, Dr. D. S. Chauhan, Dr. S. K. Singh (2016), Trade-off for
[4] B. Amelifard, F. Fallah, and M. Pedram, “Leakage minimization of Leakage Power Reduction in Deep Sub Micron SRAM Design. IJEER
SRAM cells in a dual-Vt and dual-Tox technology”, IEEE Transactions 4(4), 110-117. DOI: 10.37391/ijeer.040401.
on Very Large Scale Integration (VLSI) Systems, vol.16, pp. 851-9, Jul. http://ijeer.forexjournal.co.in/archive/volume-4/ijeer-040401.php
2008. [22] Pankaj Prajapati, Dr. Shyam Akashe (2016), Effect of changes in supply
[5] K. Roy, S. Mukhopadhyay, and H. Mahmoodi-meimand, “Leakage voltage on power consumption of digital CMOS delay lines. IJEER 4(4),
current mechanisms and leakage reduction techniques in deep 118-121. DOI: 10.37391/ijeer.040402.
submicrometer CMOS circuits”, Proceedings of the IEEE, vol. 91, pp. http://ijeer.forexjournal.co.in/archive/volume-4/ijeer-040402.php
305-327, Feb. 2003.
[6] B. Amelifard, F. Fallah, and M. Pedram, “Leakage minimization of
SRAM cells in a dual-Vt and dual-Tox technology”, IEEE Transactions © 2022 by Sufia Banu and Shweta Gupta.
on Very Large Scale Integration (VLSI) Systems, vol. 16, pp. 851-9, Jul. Submitted for possible open access publication
2008. under the terms and conditions of the Creative
[7] U. Satheeshwaran, N. Sreekanth,”X-RAY CT Reconstruction by using
Commons Attribution (CC BY) license
Spatially Non Homogeneous ICD Optimization”, International Journal of (http://creativecommons.org/licenses/by/4.0/).
Engineering and Advanced Technology (IJEAT) ISSN: 2249 – 8958,
Volume-8, Issue-6S3, September 2019
[8] A. Steegen, R. Mo, R. Mann, M.C. Sun, M. Eller, G. Leake, D. Vietzke,
et al., “65nm CMOS technology for low power applications”, in IEEE
International Electron Devices Meeting, Washington, DC, pp. 64-7, Dec.
2005.
[9] K. Koh, B.J. Hwang, K.H. Kwak, Y.S. Son, J.Y. Lee, J.H. Jang, et al.
“Highly manufacturable 100nm 6T low power SRAM with single poly-Si