VLSI On-Chip Variations OCV
VLSI On-Chip Variations OCV
Variation (OCV)
Amr Adel Mohammady
/amradelm
This Document Is Dedicated to Thousands of Palestinian Children Who
/amradelm 3
Sources of OCV
• Temperature Variations: Temperature fluctuations across the chip during MOSFET under microscope [1]
Temperature variations
on chip [2]
operation can cause variations in transistor behaviour and interconnect delays.
• Supply Voltage Variations: Fluctuations in the supply voltage, due to factors like
power supply noise or voltage drop, can affect the performance of transistors
and circuits.
/amradelm 4
On Chip Variation (OCV) vs Process Voltage Temperature (PVT)
• PVT discusses global variation affecting the entire chip including all instances (Die to die variations)
• OCV discusses the variations that happen within the same chip
PVT OCV
Process Systematic and large variations such as doping, oxide thickness, etc Non systematic and smaller variations that affect some instance within a
can affect entire parts of a wafer resulting in all instance within the chip chip while not affecting others
to be faster or slower than average
Voltage Difference in the supply voltage provided to the entire chip Variations between different cells due to different power grid resistances
For example, a chip can have two operating voltages 3V for high or hotspots with high cell density
performance mode and 2V for low power mode For example, for a supply 3V, a cell gets 2.9V while another one near it
gets 2.85V
Temperature Ambient temperature where the chip will be operated Temperature variations withing the same chip due to parts of the chip
For example, the chip is being used in summer vs winter or in a cooled consuming more power than others or hotspots with high cell density.
server room ( −40℃) vs hot car engine (120℃) For example, the CPU within a chip has a temp of 125℃ while the rest of
the chip has a temp of 100℃
Interconnect Large variations affecting characteristics of all the wires on the chip Smaller variations between the wires within the same chip
For example, chemical variations resulting in all wires within a chip to For example, due to random variations in etching, a wire was etched
have higher resistivity than average more than a wire near it resulting in a narrower wire with more resistance
/amradelm 5
Worst Case Analysis
• Since we can’t know before fabrication which standard cell or wire will get slower and which will get faster, we will assume
worst case scenario.
• Setup Analysis:
a. Combinational path: The worst case is to assume this path will get slower
• Hold Analysis:
a. Combinational path: The worst case is to assume this path will get faster
• The naming convention for slow and fast assumptions are called late and early analysis or max and min delay respectively.
/amradelm 6
Pessimism and Optimism
• Pessimism: When we assume worst case scenario, we say we add pessimism to our analysis. The worse the assumptions the more
pessimism we add.
o An overly pessimistic approach may result in designs that are larger, or consume more power than necessary to meet the
unrealistic constraints.
• Optimism: Involves a more optimistic approach to OCV analysis. Instead of considering the worst-case scenario, designers may
use statistical methods to analyze variations.
o An overly optimistic approach risks potential timing violations and hence chip failure.
• Our goal is to remove any over pessimism without letting a timing violation slip through our analysis.
/amradelm 7
Cell Delay OCV
/amradelm 8
Types of OCV Analysis – Flat Derates
/amradelm 9
Types of OCV Analysis – Advanced On-chip Variation (AOCV)
/amradelm 10
Advanced On-chip Variation (AOCV) - Calculations
Depth
1 5 10 20
0 1.10 1.08 1.04 1.02
Distance
500 1.15 1.10 1.07 1.05
1500 1.2 1.14 1.12 1.10
1 The STA tool creates a bounding box 2 The STA tool gets the derate value of
that encloses all cells in the timing the 1st cell (clock buffer) from its AOCV Buffer AOCV Table
path tables
Depth
/amradelm 11
Types of OCV Analysis – Parametric On-chip Variation (POCV)
• This approach considers the cell a random variable with mean 𝝁 and standard
deviation 𝝈
• Using 𝜎 = ±1 means there is 68.26% probability that the cell delay will fall within
this range
• STA tools usually use a deviation 𝜎 = 3 to have a more accurate analysis but the
designer can select whatever fits his requirements
• The cell delay =
o Mean + 3𝜎 for late analysis
o Mean – 3𝜎 for early analysis
• The mean and deviation are defined inside the timing library of each cell and
sometimes the deviation is stored in a different file (.pocv file)
• Pros : Removes the pessimism of the AOCV approach
POCV derates
• Cons :
o Requires additional tables for the derates
o Higher runtime
• This approach is used for low tech nodes (< 40nm).
/amradelm 12
Parametric On-chip Variation (POCV) - Calculations
𝜇 =1+2=3
𝜎2 = 1 + 2 = 3
𝜎 = √3
𝜇=2
𝜎2 = 2
/amradelm 13
Parametric On-chip Variation (POCV) - Calculations
𝜇 = 60 + 80 = 140
1 The STA tool calculates the sum of the 2 The total delay of the path (NAND+INV)
random variables (NAND + INV) 𝜎 2 = 32 + 42 = 25 = 𝜇 + 3𝜎 = 140 + 3 ∗ 5 = 155 (assuming setup and 3𝜎 analysis)
𝜎 = 25 = 5
𝜇 = 20 𝜇 = 30
𝜎=1 𝜎 = 1.5
/amradelm 14
POCV vs LVF (Library Variation Format)
• POCV uses a single value for the sigma for each cell • LVF provides a table of multiple value for the sigma with
with no dependency on input transition or load dependency on the input transition and load
capacitance capacitance of the cell
Input Transition
Cell : INV_4 10 15 20 25
Derate_type : Late 10 1.10 1.12 1.14 1.15
Coefficient : 0.05 Load Cap 20 1.12 1.13 1.15 1.17
30 1.15 1.16 1.18 1.20
Example POCV File
Example LVF Table
/amradelm 15
Temp and Voltage OCV
/amradelm 16
Temp and Voltage OCV – Flat Derates
• The standard cell supplier provides tables showing the expected derate factor for each voltage drop
• The process for applying derates for voltage (IR) drop:
1. The designer decides on a maximum accepted IR drop (for example, 5% drop from the nominal voltage)
2. The ASIC engineer runs EMIR analysis and make sure no cell in the chip has an IR drop that exceeds this maximum limit
3. The STA engineer looks at the table provided from the standard cell provider and adds on the entire design a flat derate that
corresponds to the maximum IR drop
• For temperature variations: This variation has smaller effect than other OCV effects
o A flat derate value can be applied based on the designer experience or from tables
2% 3% 4% 5%
Derate 1.03 1.05 1.06 1.07
/amradelm 17
Wire Delay OCV
/amradelm 18
Wire Delay Analysis – Flat Derates
• The OCV effect on wire delay is small compared to the effect on cell delays
• The approach used for wire OCV is applying a flat derate
• The derate could be applied in two ways:
o Derate applied to the propagation delay of the wire
o Scaling factor applied on the resistance and capacitance of the wire and hence the propagation delay. This approach also affects the
load capacitance that the cells see and therefore, the cell propagation delay and transition time
/amradelm 19
Additional Topics
/amradelm 20
Clock Reconvergence Pessimism Removal (CRPR)
• STA tools calculate the clock paths (Launch/Capture) from clock ports
down to the clock pins of the FFs
• Flow assuming setup analysis:
1. The tool will consider the launch path (from clock port to clock pin +10%
of 1st FF) and will apply positive derates on it to model the worst
case OCV
2. The tool will then consider the capture path (from clock port to +10% +10%
clock pin of 2nd FF) and will apply negative derates to model the Derates applied on launch clock path
worst case OCV
• We can see a clear over pessimism applied on the buffers common
between the launch and capture paths (the green buffers) :
• During launch analysis we assumed they faced a variation that
caused them to be slower
• During the capture analysis we assumed they faced a variation that
caused them to be faster
• In reality, these 2 events are mutually exclusive, either the buffers -10% -10% -10% -10% -10%
got slower or faster during fabrication but not both. Derates applied on capture clock path
/amradelm 21
Clock Reconvergence Pessimism Removal (CRPR)
/amradelm 22
Citations
• [1] https://www.bbvaopenmind.com/en/technology/innovation/mini-transistors-technological-revolution-20th-century/
• [2] L. Chen, W. Jin and S. X. . -D. Tan, "Fast Thermal Analysis for Chiplet Design based on Graph Convolution Networks," 2022 27th
Asia and South Pacific Design Automation Conference (ASP-DAC), Taipei, Taiwan, 2022, pp. 485-492, doi: 10.1109/ASP-
DAC52403.2022.9712583.
/amradelm
Thank You!
/amradelm 24