Krueger Florian PHD Thesis 2024
Krueger Florian PHD Thesis 2024
by
Florian Krüger
Doctoral Committee:
To Daria. Obviously.
ii
Acknowledgements
Firstly, I wish to thank my supervisor Mark Kushner who has been a constant and
inexhaustible source of knowledge and advice. Scientific rigor, integrity and work ethic are best
taught by example, and I can only hope to emulate some of the dedication to those principles I
Duraisamy, L. Jay Guo and Bryan R. Goldsmith. I would also like to thank my project collaborators,
Hyunjae Lee (Samsung), Sang Ki Nam (Samsung), Mingmei Wang (Lam Research/Tokyo
Electron), Du Zhang (Tokyo Electron), Minjoon Park (Tokyo Electron), Andrew Metz (Tokyo
Electron) and Pingshan Luan (Tokyo Electron) for their support as well as valuable insight and
data.
with special thanks Julia Falkovitch-Khain who continually shielded me from all bureaucratic
I wish to acknowledge all fellow members of the Computational Plasma Science and
Engineering Group at the University of Michigan, who have shared with me their time, experience
and, most important of all, many (probably too long) lunch breaks, some of which helped sustain
my sanity more than I care to admit. In alphabetical order: Amanda Lietz, Chenhui Qu, Evan Litch,
Eve Lanham, Jordyn Polito, Juliusz Kruszelnicki, Kseniia Konina, Mackenzie Meyer, Sanjana
Kerketta, Scott Doyle, Shuo Huang, Tugba Piskin, Xifeng Wang, Yifan Gui.
iii
Because my education did not begin in Michigan, I want to acknowledge my previous
advisors Jan Trieschmann, Julian Schulze, Ralf Peter Brinkmann, Sebastian Wilczek and Thomas
Mussenbrock who introduced me to the world of science and quite literally, changed my life’s
trajectory.
Thank you to my parents and family who supported me and made sure that my educational
and personal life stayed on track although, at times, I tried my best to derail it.
Last but not least, I must thank my extraordinary wife, Daria, for her love, patience, support
and trust without which I would have achieved nothing. While this piece of writing may be the
iv
Table of Contents
Dedication.................................................................................................................................. ii
List of Abbreviations..............................................................................................................xviii
v
1.9 Quasineutrality .............................................................................................................. 10
1.24 Adsorption................................................................................................................... 39
vi
1.29 Computational Modeling ............................................................................................. 49
Chapter 3 : Electric Field Reversals Resulting from Voltage Waveform Tailoring in Ar/O2
Capacitively Coupled Plasmas Sustained in Asymmetric Systems ............................................. 81
Chapter 4 : Voltage Waveform Tailoring for High Aspect Ratio Plasma Etching of SiO2 using
Ar/CF4/O2 Mixtures: Consequences of Ion and Electron Distributions on Etch Profiles .......... 107
vii
4.4 Plasma and Etch Properties for 1000 W of VWT Power .............................................. 113
4.5 Plasma and Etch Properties for 2000 W of VWT Power .............................................. 127
Chapter 5 DC Self-Bias and Ion Energy at Very Low Driving Frequencies. ............................ 139
5.3 Reactor Scale Plasma Properties with Constant Applied Voltage ................................. 144
5.4 Reactor Scale Plasma Properties with Constant Applied Power ................................... 155
viii
6.9.2 Variation of Low Frequency Power..................................................................... 207
ix
List of Tables
Table 6.2: High-level description of the SiO2 and AC etch mechanism. ................................... 185
Table 6.3: Tuning parameters for gradient descent optimization .............................................. 191
Table 6.5: Final tuning parameters after coupled optimization. ................................................ 200
Table 6.6: Final tuning parameters after coupled optimization of feature grid. ......................... 211
x
List of Figures
Figure 1.4: Electron impact cross sections for e-Ar collisions. Reproduced from Petrović et al. ...9
Figure 1.5: Schematic of a plasma bounded by two parallel grounded metal plates and the
resulting density b) as well as potential c) distribution throughout the gap. ................................ 12
Figure 1.6: Schematic of a capacitively coupled plasma and the corresponding potential
distribution at 4 different times of the RF period. ...................................................................... 14
Figure 1.8: Circuit diagram of the matching network in conjunction with the plasma. ............... 20
Figure 1.9: Schematic of an asymmetric CCP with two different electrode sizes with A 1 < A2
and the blocking capacitor CB at the powered electrode. ............................................................ 22
Figure 1.10: Voltage waveforms VVWT(t) based on (1.56) for 𝜑 = 0, 45, 90, 135 and 180°. ...... 29
Figure 1.11 a) IEDs at the powered electrode of a rf CF 4 discharge driven at 13.56 MHz from
Kuypers and Hopman [129]. b) Particle in Cell simulation results showing IEDs of helium ions
hitting the target electrode of rf discharges driven at frequencies from 1 MHz to 100 MHz.
Reproduced from Kawamura et al [130]. ................................................................................... 32
Figure 1.13: Manufacturing of strucured integrated circuit devices through deopsition, etch and
pattern transfer: a) metal film deposition; b) photoresist deposition; c) patterned optical
exposure; d) photoresist development; e) film etch; f) photoresist removal. Based on [16]. ....... 35
Figure 1.14: a) Isotropic etch processes result in an uncontrolled and ultimately undesirable
feature. b) Ion bombardment enables anisotropic etching. ......................................................... 37
Figure 1.15: Cross section SEM image of memory channel hole pattern after etching [131]. ..... 38
Figure 1.16: Potential diagram of a generic physisorption and chemisorption process. .............. 39
xi
Figure 1.17: Different types of material removing surface reactions. a) physical sputtering, b)
thermal etching, c) chemical removal of ion activated species and d) physical removal of
chemically modified species. ..................................................................................................... 41
Figure 1.18: Etching yield of polysilicon and silicon dioxide by 100 eV Ar and Cl as a function
of ion incident angles for saturated chlorine fluxes. Reproduced from Chang et al [93]. ............ 42
Figure 1.19: Critical ion angle of incidence for direct hit on the etch front for a) low and b) high
aspect ratio feature. ................................................................................................................... 45
Figure 1.20: Surface charge effects responsible for notching, trenching and twisting in HAR etch
processes. .................................................................................................................................. 46
Figure 1.21: Sidewall passivation during the feature etch process. ............................................. 48
Figure 2.1: Flowchart of coupled modeling suite consisting of HPEM and MCFPM.................. 63
Figure 3.1: Geometry and circuit used in the model. a) Schematic of the dual frequency
capacitively coupled plasma reactor used in this investigation. b) Equivalent circuit diagram of
the reactor. Source power at 80 MHz is applied to the top electrode. The 1 MHz tailored
waveform is applied to the bottom electrode.............................................................................. 84
Figure 3.2: Plasma properties as a function of phase angle for the pure argon plasma with a
constant high frequency power of 100 W and constant bias power of 1 kW. a) Applied voltage
amplitudes for the high frequency source (Vhf) and amplitude of the low frequency bias (V0).
Top and bottom electrode. b) DC self-bias. ............................................................................... 87
Figure 3.3: Plasma properties for the pure argon plasma averaged across the wafer as a function
of height above the wafer and time during the low frequency,1 MHz cycle. Values are shown
(left-to-right) for phase angles of φ = 0, 45, 90, 135, 180°. a) Axial component of the electric
field, b) Ar+ density, c) electron density and d) applied voltage waveform on the substrate. The
Ar+ and electron densities are plotted on a log-scale spanning 2 decades with the maximum value
noted in each image................................................................................................................... 88
Figure 3.4: Properties of electrons incident onto the wafer for the pure argon plasma. a) Electron
energy and angular distributions for different phase angles plotted on a log-scale spanning 2
decades. b) Mean electron energy and mean electron angle of incidence as a function of phase
angles. ....................................................................................................................................... 91
Figure 3.5: Spatially averaged densities of Ar+, e-, O2+ and O-, and electronegativity of Ar/O2
plasmas as a function of the O2 mole fraction with a phase angle of φ = 0°. ............................... 93
Figure 3.6: Plasma properties as a function of phase shift φ for different Ar/O 2 ratios when
keeping source and bias powers constant. a) DC self-bias produced on the powered substrate. b)
Applied voltage amplitude V0. .................................................................................................. 94
xii
Figure 3.7: Radial average of the vertical component of the electric field as a function of time
during a single 1 MHz cycle and distance from bottom electrode different gas mixtures. Ar/O2 =
a) 100/0, b) 90/10, c) 75/25, d) 50/50. e) Normalized applied voltage V0. Results are shown for
phase shifts of (left-to-right columns) φ = 0, 45, 90, 135 and 180°. The dotted line is for E = 0,
the separation between negative electric fields pointing down and positive pointing up. ............ 95
Figure 3.8: Plasma properties for Ar/O2 = 50/50 and a phase shift of φ = 0°. a) Radial average of
the Ar+ density as a function of time during a single 1 MHz RF cycle plotted on a log-scale over
2-decades. b) O- density (log-scale, 2-decades) and c) electron density (log-scale 3-decades). The
maximum density is noted in each image. d) e) Normalized voltage amplitude V0..................... 98
Figure 3.9: Electron energy and angular distributions incident onto the wafer surface for different
Ar/O2 ratios plotted on a log-scale over 2 decades with phase shifts of a) φ = 0° and b) φ = 180°.
The EAD increases in energy and narrows in angle with increasing O2 fraction, an effect that is
most prominent for a) φ = 0°. .................................................................................................. 100
Figure 3.10: Properties of the electron velocity distribution incident onto the wafer for different
Ar/O2 ratios as a function of phase shifts φ. a) Mean electron energy and b) mean angle of
incidence. Decreasing phase angle increases the incident electron energy and narrows the angular
distribution, with the effect being most prominent for large O2 mole fractions. ........................ 101
Figure 4.1: Schematics of the reactor. a) The two frequency CCP has the VWT power applied to
the lower electrode and a sinusoidal, 80 MHz waveform applied to the top. b) Electrical
schematic of the system. From F. Kruger et al, Plasma Sources Sci. Technol. 30, 085002 (2021).
Reproduced by permission of IOP Publishing Ltd. .................................................................. 109
Figure 4.2: Initial geometry used in for feature profile simulations. A 700 nm mask defines a 100
nm opening to 3000 nm thick SiO2. The simulations are for trench etching, performed in 3-
dimensions having a finite depth. ............................................................................................ 112
Figure 4.3: Voltage amplitudes for the VWT bias (Vbias) and top power (Vtop), and DC self-bias
as a function of phase angle. a) PVWT = 1000 W and b) PVWT = 2000 W. ................................. 114
Figure 4.4: Vertical electric field component as a function of time and distance from wafer for
PVWT = 1000 W for phase angles of a) 0°, b) 45°, c) 90°, d) 135° and e) 180°. The shape of the
voltage waveform applied to the bottom electrode is shown in each image. ............................. 116
Figure 4.5: Properties of ions and electrons incident onto the wafer for PVWT = 1000 W. a) IEAD
and b) EEAD for phase angles of φ = 0°, 45°, 90°, 135° and 180°. The distributions are separately
normalized and plotted on a log-scale over 2 decades. c) Mean ion energy as a function of phase
angle φ .................................................................................................................................... 118
Figure 4.6: Fluxes of reactive species onto the wafer as a function of phase angle φ. a) Neutrals
and b) ions. ............................................................................................................................. 119
Figure 4.7: Feature properties for PVWT = 1000 W following 15 minutes of etching a) Feature
profiles for different phase angles (φ = 0°, 45°, 90°, 135° and 180°). b) Comparison of etch result
and electric potential for (left) self-consistent VWT produced EEAD ...................................... 121
xiii
Figure 4.8: Spatial distribution of average electron energies incident on the surface of the feature.
The feature properties are for PVWT = 1000 W and φ = 0° after 15 minutes of etching. Results are
shown for initial EEADs produced with VWT and for thermal electron having a temperature. of
3 eV. a) When including acceleration in electric fields produced by feature charging. b) Without
acceleration due to feature charging. The view is looking obliquely into the 3-dimensional
feature having reflective boundary conditions front-and-back. ................................................. 126
Figure 4.9: Vertical electric field component as a function of time and distance from wafer for
PVWT = 2000 W for phase angles of a) 0°, b) 45°, c) 90°, d) 135° and e) 180°. The shape of the
voltage waveform applied to the bottom electrode is shown in each image. ............................. 129
Figure 4.10: Electron density for PVWT = 2000 W for phase angle φ of a) 0°, b) 90° and c) 180°).
The densities are plotted on a log-scale over 2-decades. .......................................................... 130
Figure 4.11: Energy and angular distributions for charged particles incident onto the wafer for
PVWT = 2000 W for phase angles of φ = 0°, 45°, 90°, 135° and 180°. a) Ions and b) electrons.
The distributions are separately normalized and plotted on a log-scale over 2 decades. ............ 131
Figure 4.12: Feature properties for PVWT = 2000 W following 15 minutes of etching a) Feature
profiles for different phase angles (φ = 0°, 45°, 90°, 135° and 180°). b) Comparison of profiles
obtained with VWT generated EEADs and synthetic thermal electron distribution for phase
angles φ of 0°, 90° and 180°. ................................................................................................... 134
Figure 5.2: Feature scale model initial conditions for simulating plasma etching of SiO2. PR
represents photoresist. ............................................................................................................. 144
Figure 5.3: Plasma properties of the Ar/CF4/O2 CCP base case with VT = 300 V, V0 = 1500 V,
f0 = 1 and φ = 0°. Time averaged a) electron density ne, b) ionization source by bulk electrons
Sb, c) ionization source by secondary electrons Ss, and d) electron temperature, Te. ................. 145
Figure 5.4: Average electron density as a function of phase shift φ for fundamental frequencies
f0 = 1 MHz to 10 MHz at constant applied voltage................................................................... 146
Figure 5.5: Power deposition for a) top electrode and b) bottom electrode as a function of phase
shift φ for fundamental frequencies f0 = 1 MHz to 10 MHz. .................................................... 147
Figure 5.6: Plasma properties as a function of phase shift φ for different fundamental frequencies
f0 = 1 MHz to 10 MHz for constant voltage. a) Vdc. b) Mean ion energy incident onto the wafer.
............................................................................................................................................... 149
Figure 5.8: Incident average energy of select ion species as a function of mass. ...................... 153
xiv
Figure 5.9: IEADs as a function of phase shift φ for fundamental frequencies a) f0 = 1 MHz and
b) f0 = 10 MHz at constant applied voltage. Values are plotted on a 2-decade log scale. .......... 154
Figure 5.10: Applied voltage for constant power (2000 W) as function of phase shift φ for
fundamental frequencies f0 = 1 to 10 MHz applied to the bottom electrode. a) Top electrode and
b) bottom electrode. ................................................................................................................ 156
Figure 5.11: Mean electron density as a function of phase shift φ for fundamental frequencies f0
= 1 MHz to 10 MHz at constant applied power........................................................................ 157
Figure 5.13: Plasma parameters as a function of phase shift φ for fundamental frequencies f0 = 1
MHz to 10 MHz at constant power. a) DC self-bias and b) Mean ion energy delivered to the
wafer. ...................................................................................................................................... 159
Figure 5.14: IEADs as a function of phase shift φ with constant power for fundamental
frequencies a) f0 = 1 and b) 10 MHz. Values are plotted on a 2-decade log scale. .................... 160
Figure 5.15: Fluxes to the wafer as a function of phase shift φ for fundamental frequencies f0 =
1 to 10 MHz while keeping power constant. a) Total polymerizing flux, b) O-atom flux, c) total
ion flux and d) ratio of polymerizing flux to ion flux. .............................................................. 161
Figure 5.16: Predictions for etch profiles in SiO2 at constant power with fundamental frequency
f0 = 1 MHz and varying phase angles φ. .................................................................................. 163
Figure 5.17: Predictions for etch profiles in SiO2 at constant power with fundamental frequency
f0 = 10 MHz and varying phase angles φ.................................................................................. 165
Figure 6.3: Plasma properties for the base case: a) Electron density [e], b) electron temperature
Te and c) negative fluorine ion Density [F-], d) ionization rate by bulk electrons, e) ionization
rate by secondary electrons...................................................................................................... 179
Figure 6.4: Ion Energy-Angular Distribution sampled at the wafer surface during the base
case. ........................................................................................................................................ 181
Figure 6.5: Feature scale simulation setup consisting of a SiO2 substrate with an amorphous
carbon mask. ........................................................................................................................... 182
Figure 6.6: Etch features for different O based polymer etch probabilities 0.5% (left) and 2.0%
(right). ..................................................................................................................................... 184
Figure 6.7: Target metrics used to evaluate the experimental matching. ................................... 186
xv
Figure 6.8: SEM image resulting from the base case experiment. ............................................ 188
Figure 6.9: Outline of the gradient descent method for a 1D parameter space. ......................... 189
Figure 6.10: Results from the gradient descent optimization. a) minimal epochal loss. b) values
of select metrics as a function of epochs. c) select tuning parameters as a function of epochs. . 192
Figure 6.11: The finite resolution of the loss function leads to convergence issues. ................. 193
Figure 6.12: Evolution of the total loss for different learning rates η = 1x10-4, 5x10-4, 1x10-3 and
5x10-3...................................................................................................................................... 194
Figure 6.14: Flow chart describing the Nelder-Mead optimization algorithm. .......................... 197
Figure 6.15: Results from the combined gradient descent and Nelder-Mead optimization. a)
minimal epochal loss. b) values of select metrics as a function of epochs. c) select model
parameters as a function of epochs. ......................................................................................... 199
Figure 6.16: Final features after etch completion for different parameter sets as a function of
epochal evolution and the actual experimental target feature. .................................................. 201
Figure 6.17: Fluxes incident on the wafer as a function of the O2/C4F6 feedstock gas ratio. ..... 204
Figure 6.18: a) MCFPM features resulting from the O2/C4F6 variation. b) SEM images of the
features resulting from the corresponding experiment.............................................................. 205
Figure 6.19: IEADs resulting from the Plf variation with Plf = 0, 4, 6 and 8 kW. ...................... 207
Figure 6.20: a) MCFPM features resulting from the Plf variation. b) SEM images of the features
resulting from the corresponding experiment. .......................................................................... 208
Figure 6.21: Feature scale simulation setup consisting of a SiO2 substrate with an amorphous
carbon mask and four separate features in a regular grid. ......................................................... 210
Figure 6.22: Results of the feature array optimization. a) minimal epochal loss. b) values of select
metrics as a function of epochs. c) select model parameters as a function of epochs. ............... 212
Figure 6.23: Final feature grids after etch completion for different parameter sets as a function
of epochal evolution and the actual experimental target feature. .............................................. 213
Figure A.0.1: Statistical run-to-run variation of the final etch profiles for the PVWT = 1000 W and
φ = 0° case. a) 15 min run and b) 30 min to produce over etch into the stop layer. For each series
of profiles, each case had a different seed for the random number generators, in addition to
having the inherent statistical variations in the parallel computing environment. ..................... 231
xvi
List of Appendices
xvii
List of Abbreviations
DC Direct Current
AC Alternating Current
ICP Inductively Coupled Plasma
CCP Capacitively Coupled Plasma
RF Radio Frequency
LF Low Frequency
HF High Frequency
LTP Low Temperature Plasma
IMN Impedance Matching Network
EAE Electrical Asymmetry Effect
VWT Voltage Waveform Tailoring
MOSFET Metal Oxide Field Effect Transistor
PECVD Plasma Enhanced Chemical Vapor Deposition
ALD Atomic Layer Deposition
ALE Atomic Layer Etching
RIE Reactive Ion Etching
PR Photo Resist
AC Amorphous Carbon
HAR High Aspect Ratio
ARDE Aspect Ratio Dependent Etching
HPEM Hybrid Plasma Equipment Model
EETM Electron Energy Transport Module
FKPM Fluid Kinetics Poisson Module
SKM Surface Kinetics Module
SSBM Surface Site Balance Model
PCMCM Plasma Chemistry Monte Carlo Module
MCFPM Monte Carlo Feature Profile Model
HVM High Volume Manufacturing
xviii
List of Symbols
𝑡 Time
𝑛𝑒 Electron density
𝑛𝑖 Ion density
𝑛𝑔 Neutral gas density
𝑇 Temperature
𝑇𝑒 Electron temperature
𝑇𝑖 Ion temperature
𝑇𝑔 Neutral gas temperature
𝛼 Ionization degree
𝑚 Mass
𝑚𝑒 Electron mass
𝑚𝑖 Ion mass
𝑓 Frequency
𝑓0 Fundamental frequency
𝜔 Angular frequency
𝜔𝑝𝑒 Electron plasma frequency
𝜆𝐷 Debye length
𝑘𝑏 Boltzmann constant
𝑒 Elementary charge
𝜀 Permittivity
𝜀0 Vacuum permittivity
𝜌 Charge density
Φ Electric potential
𝑉 Voltage
𝑉1 Voltage across sheath 1
𝑉2 Voltage across sheath 2
𝑉̅1 Mean voltage across sheath 1
𝑉̅2 Mean voltage across sheath 2
𝑉̂1 Maximum voltage across sheath 1
𝑉̂2 Maximum voltage across sheath 2
𝑉𝑟𝑓 RF voltage at generator
𝑉̃𝑚𝑎𝑥 Maximum of applied voltage at generator
𝑉̃𝑚𝑖𝑛 Minimum of applied voltage at generator
𝑉𝑑𝑐 DC self-bias voltage
𝑉𝑉𝑊𝑇 Tailored voltage waveform
𝐽 Current density
xix
𝐽1 Current density in sheath 1
𝐽2 Current density in sheath 2
𝐼 Electric current
𝐼1 Current in sheath 1
𝐼2 Current in sheath 2
𝑬 Electric field strength
𝑅 Electrical resistance
𝑅𝑃 Plasma bulk resistance
𝐿 Electrical inductance
𝐿𝑃 Plasma bulk inductance
𝐶 Electrical capacitance
𝐶1 Capacitance of sheath 1
𝐶2 Capacitance of sheath 2
𝐶𝐵 Capacitance of blocking capacitor
𝑍 Electrical impedance
𝑍𝐿 Total load impedance
𝑍0 Generator Output impedance
𝑄 Electric charge
𝑄1 Total electric charge in sheath 1
𝑄2 Total electric charge in sheath 2
𝜎 Electrical conductivity
𝜎𝑑𝑐 DC conductivity
Γ𝑅 power reflection coefficient
𝑆𝑜ℎ𝑚̅ Time averaged ohmic heating
𝑆𝑠𝑡𝑜𝑐 ̅ Time averaged stochastic heating
𝛽 Discharge asymmetry parameter
𝑙 Discharge gap
A Area
A1 Area of electrode 1
A2 Area of electrode 2
s1 Width of sheath 1
s2 Width of sheath 2
ŝ1 Maximum width of sheath 1
ŝ2 Maximum width of sheath 2
Γ Surface Fluxes
Γ𝑖 Ion flux to wafer surface
Γ𝑒 Electron flux to wafer surface
𝜙 Fluxes
E𝐴 Etch rate of material “A”
𝜉𝐴,𝐵 Etch selectivity of material “A” vs “B”
𝑘𝑖 Reaction rate coefficient of reaction i
𝜈𝑖 Total rate of collision i
𝜇 Mobility
𝜇𝑒 Electron mobility
xx
𝜇𝑖 Ion mobility
𝐷 Diffusion coefficient
𝐷𝑒 Electron diffusion coefficient
𝐷𝑖 Ion diffusion coefficient
𝐷𝑎 Ambipolar diffusion coefficient
xxi
Abstract
As critical dimensions of semiconductor devices shrink, feature densities increase and the
geometries become more complex, the manufacturing processes are required to consistently
improve and innovate. Low temperature plasma based processes are required to etch nanometer
scale features with high aspect ratios through multi-material stacks as fast as possible, while
maintaining high uniformity and a high yield over a 300 mm wafer. The quality of the surface etch
is highly dependent on the energy and angular distribution of the charged particles, ions and
electrons originating from the gas phase, incident on the wafer surface.
To control their dynamics, the use of complex tailored voltage waveforms was
investigated. The tailored waveform consisted of a sinusoidal harmonic wave and its higher
harmonics. Coupled reactor and surface scale simulations were performed to investigate the
respective physical regimes. The Hybrid Plasma Equipment Model (HPEM) was utilized to
simulate the gas phase and discharge physics in a capacitively coupled plasma operated in the low-
pressure regime. Investigated feed gas mixtures include Ar/O2, Ar/O2/CF4 as well as Ar/O2/C4F6.
Based on the HPEM results, the Monte Carlo Feature Profile Model (MCFPM) was used to
It was found that some degree of control of charged particle dynamics is possible by
adjusting the phase of higher harmonics φ through the resulting generation of electrical asymmetry
and electric field reversal. These general trends were present in most considered configurations,
however the nature of the interaction between ions and the generated DC self-bias were found to
xxii
be context dependent with respect to its effects on ion energy. Two distinct regimes were
identified. Average ion energy onto the wafer is strongly correlated to the DC self-bias at high f0,
whereas in the low frequency regime this correlation is weak. Average ion energy onto the wafer
In all cases however, the trends produced in the gas phase translated to significant
differences in the feature properties, strongly suggesting that voltage waveform tailoring
the reaction mechanism representing the physical processes occurring between plasma produced
reactant fluxes and the surface represented by the reaction probabilities, yields, rate coefficients,
threshold energies etc. The increasing complexity of the structures being fabricated, new materials
and novel gas compositions for plasma produced radical fluxes to the wafer also increases the
complexity of the reaction mechanism used in feature scale models, and the difficulty in
developing the fundamental data required for the mechanism. This challenge is further exacerbated
by the fact that acquiring these fundamental data through more complex computational models or
automate the selection of fundamental data in a reduced reaction mechanism for feature scale SiO2
plasma etching using a fluorocarbon gas mixture is discussed. By matching predictions of etch
profiles to experimental data using a gradient descent / Nelder-Mead method hybrid optimization
scheme these methods produce a reaction mechanism that replicate the experimental training data
xxiii
Chapter 1 : Introduction
Plasma is everywhere. Physically, as the most prevalent state of ordinary matter throughout
the universe in the form of stars, lightning and auroras [1–4]; dramatically, but also quietly in terms
of how its technological utilization has a seldom recognized presence in all our lives. Parts for
furniture, appliances and cars are precisely cut by plasma torches and gas-discharge lasers [5,6].
Machines and tools are hardened by it [7], while it (at least for now) lights streets, offices and train
stations [8,9]. Plasma can make water drinkable [10], provide nourishment for plants [11] and treat
some of our ills [12–14]. Beyond terrestrial uses, plasma-based propulsion is essential in long-
term orbital and interplanetary space fare. Certainly, advances in nuclear fusion research inspire
hope that the understanding of plasma can contribute to the creation of a long-term sustainable
energy source in a (hopefully) not too distant future. However, undeniably one of the most
important role in the manufacturing of advanced semiconductors. Their processing has motivated
(this one included) and directly contributed to the proliferation of ever faster and more efficient
Plasma is often referred to as the fourth state of matter. State transitions of matter can be
understood as responses to energy that is added to a system. The most common states of matter
1
and respective state transitions are shown in Figure 1.1. Generally, the lowest energy state a
substance can occur as is a solid. A solid’s structure is defined by the molecules’ outer shell
electrons interacting over small distances and forming strong chemical bonds, resulting in a
materials ability to maintain constant volume, density and a fixed shape. Adding sufficient energy
into the system, for example in the form of heat, causes the breaking of these bonds (melting) and
a phase transition into the fluid state, losing the ability to withstand shear forces and maintain a
fixed shape. At sufficiently high energies molecules are no longer constrained by the cohesive
forces and can move freely in a gaseous state (vaporization). If molecules accrue enough energy it
can lead to ionization where a neutral particle splits into a charged ion(+) electron(-) pair. A
partially or fully ionized gas is called “plasma”. Due to the presence of mobile charge carriers,
plasmas can react to electromagnetic fields on macroscopic scales and carry electric currents.
Beyond these basic characteristics, the plasma state spans an enormous range of physical
regimes, each exhibiting drastically different properties. [15,16] A basic categorization is often
done based on a plasma’s number density and temperature which can range from in space plasmas
with low densities (1 cm-3) and low temperatures (1 - 100 eV) to fusion plasmas with high densities
2
(1015 cm-3) and high temperatures (> 10 keV) [17]. An overview of different plasma regimes is
The focus of this thesis lies on low temperature plasmas (LTP) with electron temperatures
between 1 and 10 eV operated at low pressures (10s of mTorr). These plasmas are often referred
to as glow discharges due to their propensity to emit visible light and the fact that they are typically
maintained via electric discharge configurations, where the plasma is sustained by coupling energy
3
1.2 Basic Properties of Low-Temperature Plasmas
For plasma to exist, ionization is necessary. The ionization process produces a population
of electrons with the number density 𝑛𝑒 , one of the most fundamental plasma properties often
referred to as simply the plasma density. The degree of ionization 𝛼 is defined as the ratio of the
𝑛𝑖
𝛼= (1.1)
𝑛𝑖 + 𝑛𝑔
The types of plasmas investigated in this work are considered weakly ionized plasmas with
𝛼 < 1%. The primary source of ionization in most plasmas is based on electron impacts either
directly
𝑒 + 𝐴 → 𝐴+ + 𝑒 + 𝑒, (1.2)
or as a dissociative ionization
𝑒 + 𝐴𝐵 → 𝐴+ + 𝐵 + 𝑒 + 𝑒. (1.3)
Both reactions are highly dependent on the electron’s energy which, for a single collision
𝑓(𝐱, 𝐯, t) (1.4)
with particle positions x and velocities v. The change to a system’s distribution function can be
4
𝜕𝑓 𝜕𝑓 𝜕𝑓 𝜕𝑓
=( ) +( ) +( ) (1.5)
𝜕𝑡 𝜕𝑡 𝑓𝑜𝑟𝑐𝑒 𝜕𝑡 𝑑𝑖𝑓𝑓 𝜕𝑡 𝑐𝑜𝑙𝑙
where the "force" term corresponds to external forces, for example in the form of external fields
exerting a temporal change on the particles. The "diff" term represents the diffusion of particles,
and "coll" is the collision term – accounting for the forces acting between particles in collisions.
𝜕𝑓 𝐹 𝜕𝑓
+ 𝐯∇𝑟 𝑓 + ⋅ ∇𝑣 𝑓 = | (1.6)
𝜕𝑡 𝑚 𝜕𝑡 𝑐𝑜𝑙𝑙
𝜕𝑓
distribution and are collectively represented in the collision term | . The details of the
𝜕𝑡 𝑐𝑜𝑙𝑙
collision term depend on the specific application but can contain number losses (species absorbing
collisions), number gains (species generating reactions), and instantaneous changes to velocity
(elastic collisions) or speed (inelastic collisions). In the case of low temperature plasmas, the
external force is dominated by electromagnetic fields leading to the commonly used two term
approximation:
𝜕𝑓 𝑒(𝐄 + 𝐯 × 𝐁) 𝜕𝑓
= −𝐯∇𝑟 𝑓 − ⋅ ∇𝑣 𝑓+ | (1.7)
𝜕𝑡 𝑚 𝜕𝑡 𝑐𝑜𝑙𝑙
Macroscopic quantities can be derived from the distribution function such as the number
density
𝑛(𝐫, 𝑡) = ∫ 𝑓𝑑 3 𝑣, (1.8)
5
1.4 Conservation Equations
The 0th moment yields the continuity equation which governs the particle conservation and
𝜕𝑛
+ ∇ ∙ (𝑛𝐮) = 𝐺 − 𝐿 ( 1.10)
𝜕𝑡
A change in local density is the consequence of a divergence of particle flux, i.e., more particles
flow into a test location than flow out or due to local generation or loss, given by G and L
respectively. G and L originate from the collision operator and are thus linked to the same physical
𝜕𝐮
𝑚𝑛 [ + (𝐮 ∙ ∇)] = 𝑞𝑛(𝐄 + 𝐮 × 𝐁) − ∇ ∙ 𝚷 + 𝑓|𝑐𝑜𝑙𝑙 (1.11)
𝜕𝑡
Temporal or spatial changes to the momentum carrying flux, nu, described on the left-hand side,
are the result the external E and B fields, the pressure gradients, described by the divergence of
6
1.4.3 Energy Conservation
𝜕 3 3 𝜕 3
( 𝑝) + ∇ ∙ 𝑝𝐮 + p∇ ∙ 𝐮 + ∇ ∙ 𝐪 = ( 𝑝)| (1.12)
𝜕𝑡 2 2 𝜕𝑡 2 𝑐𝑜𝑙𝑙
3 3
Here 2 𝑝 is the thermal energy density and consequently 2 𝑝𝐮 describes the thermal energy
flux. p∇ ∙ 𝐮 is the local heating and cooling due to compression and expansion.
A system that is dominated by elastic momentum transfer collisions will eventually reach
corresponding to 3 different temperatures T1, T2 and T3 with T1 < T2 < T3 are shown in Figure 1.3.
7
For higher temperatures, the distribution extends further to high velocities, while still
containing a finite low temperature component. Systems without external power losses and gains
eventually reach this state through mutual interaction of particles, referred to as thermalization i.e.,
2
3𝑘𝑏 𝑇 𝑣𝑡ℎ 𝑚
𝑣𝑡ℎ = √ ⇒𝑇= (1.14)
𝑚 3𝑘𝑏
When power is coupled into plasma via electromagnetic or electrostatic means, it results
in heating of the charged particles only. Thermalization i.e., the process of physical bodies reaching
thermal equilibrium through mutual interaction, occurs mostly through elastic collisions. Due to
the differences in thermal velocity 𝑣𝑡ℎ and the subsequent difference in collision frequency,
electrons thermalize much more rapidly than the heavier ions. Because of this, in the low-pressure
regime, electrons are mostly considered in thermal equilibrium with themselves, whereas ions are
often not. Energy transfer between electrons and ions through elastic collisions is generally rather
inefficient due to the large difference in masses and thus they are not in thermal equilibrium with
each other as well. Finally, due to the low collisionality between ions and neutrals because of the
low pressure they, too, are generally considered to not be in thermal equilibrium with each other
yielding three distinct temperatures of electrons ions and the neutral gas, 𝑇𝑒 , 𝑇𝑖 , and 𝑇𝑔 ,
8
1.7 Electron Collision Cross Sections
energy which is mostly determined by electron energy. This relation is often represented in the
form of energy dependent cross sections 𝜎(𝜀) like the one presented in Figure 1.4 for electron
impact cross sections with Ar. Every type of interaction has its own energy criteria, and thus
possesses its own cross section. The most relevant are usually the momentum transfer 𝜎𝑒 ,
excitation 𝜎𝑒𝑥𝑐 𝑖 , and ionization 𝜎𝑖𝑜𝑛 𝑖 . Notably, momentum transfer can occur at every energy to
some degree while excitation and ionization cannot occur below certain thresholds which stem
Figure 1.4: Electron impact cross sections for e-Ar collisions. Reproduced from Petrović et al.
The effective rate of electron impact reactions is given by its rate coefficient
𝑘𝑖 = ∫ 𝑓 (𝜀 ) 𝑣 𝜎𝑖 (𝜀 ) 𝑑𝜀, (1.15)
9
which is a function of the electron energy distribution 𝑓(𝜀 ) as well as the respective energy
dependent reaction cross section 𝜎𝑖 (𝜀 ). The total rate at which collisions occur is a function of the
densities of the respective reactant particles as it is proportional to the mean particle distance and
mean free path. The total rate of collision ‘i’ occurring between electrons and gas species ‘j’ is
then given by
1.9 Quasineutrality
Most plasmas are typically quasineutral i.e., the amount of positive charge is equal to the
amount of negative charge on macroscopic scales. In terms of the space charge distribution
quasineutrality represents the lowest energy state and thus deviations from it are restored in a self-
regulating manner. The presence of space charge regions leads to the generation of an electric
potential that attracts species of the opposite charge, thus neutralizing the space charge. However,
quasineutrality can be broken over small time or length scales [15,16,18] when a force is applied,
or an external potential is present. The time scale is defined by the plasma frequency, derived by
determining the frequency of electrostatic oscillation of charged species displaced from neutral. In
LTPs, the plasma frequency is the electron plasma frequency ωpe, given by
𝑒 2 𝑛𝑒
𝜔𝑝𝑒 = √ , (1.17)
𝜀0 𝑚𝑒
where e is the fundamental charge, ε0 is the permittivity of free space, and me is the electron mass.
The length scale over which quasineutrality can be broken is the Debye length λD, an estimate of
10
𝜀0 𝑘𝐵 𝑇𝑒
𝜆𝐷 = √ 2 , (1.18)
𝑒 𝑛𝑒
where kB is Boltzmann’s constant and Te is electron temperature. The convention in plasma physics
Macroscopic fluxes can be caused by external fields and or diffusion based on pressure
gradients
where 𝜇 and D are the macroscopic mobility and diffusion constant, which are unique to each
species. The 𝜇𝑛𝐄 term describes particles being accelerated by an electric field under the influence
of collisions and its inertia. The 𝐷∇𝑛 term describes movement down a pressure gradient under
the influence of thermal motion. In most plasmas, the positive and negative species have different
masses, with the electron dominated negative charge carriers being significantly more agile. This
means that the mean velocity of positive charges is different than the mean velocity of negative
charges. Charge carrier separation, caused by different diffusion speeds, leads to the generation of
an electric field that effectively couples positive and negative charge carrier transport, to a degree.
𝜇𝑖 𝐷𝑒 + 𝜇𝑒 𝐷𝑖
𝐷𝑎 = . (1.21)
𝜇𝑖 + 𝜇𝑒
11
1.11 Plasma Sheath
Whenever plasma is in contact with a surface a region of space charge called the “plasma
sheath” is generated. This sheath region is of central importance to this work as plasma-surface
interactions are critically dependent on its properties. To illustrate its basic structure, it is
Figure 1.5: Schematic of a plasma bounded by two parallel grounded metal plates and the resulting density b) as
well as potential c) distribution throughout the gap.
12
Losses of charged particle species to the walls occur at different rates. Electrons which are
typically much lighter and faster than ions (me/mi = 7.2 × 10-4 in the exemplary case of Argon and
Te/Ti ≥ 10) are lost to the surface at a significantly higher rate. Typical number densities of ions
and electrons as a function of distance are shown in Figure 1.5b. The center region of the plasma,
the “bulk”, is generally considered to be quasineutral (ne≈ni). Because of wall losses, particle
densities decrease in proximity to the boundary surfaces with. Due to the electrons’ higher loss
rate their density ne in the boundary region is lower than the ions’ ni creating an electron-depleted
The spatial extend of this break in quasineutrality is generally on the order of the Debye
length λD. This space charge ρ = ni - ne causes an electric potential ϕ in accordance with Poisson’s
ϕ is depicted in Figure 1.5c. Due to the positive space charge the plasma potential 𝑉𝑝 is
positive with respect to the ground reference and the corresponding electric field 𝐸 points from the
plasma bulk towards the surface. The sheath electric field in turn accelerates positive ions towards
the said surface while simultaneously confining electrons to the bulk. This directional ion
acceleration within the plasma sheath is the basis for many technological applications of plasmas,
including the anisotropic etching of materials which is a particular focus of this work and
introduced in Section 0.
13
1.12 Capacitively Coupled Plasmas
Since charge is lost to the walls and to ion-electron recombination, a continuous power
input is required to sustain plasmas. Although not necessarily thermal in nature, this is generally
referred to as plasma heating as it transfers kinetic energy to the charged and neutral species. The
magnitude of plasma heating and the underlying mechanism play an essential role in determining
basic plasma properties such as plasma density, temperature, potential and a host of downstream
properties.
Figure 1.6: Schematic of a capacitively coupled plasma and the corresponding potential distribution at 4 different
times of the RF period.
14
One type of plasma heating with extraordinary technical relevance is through capacitive
coupling [22–43]. Capacitively coupled plasmas (CCP) are heated by interfacing with large area
electrodes. The simplest setup resembles the planar configuration shown in Figure 1.5a with the
exception that a radio frequency (RF) alternating current (AC) voltage is applied to at least one of
the electrodes. This setup is schematically depicted in Figure 1.6 where an AC voltage source with
𝑉𝑟𝑓 (𝑡) = 𝑉0 sin(𝜔𝑡) is connected to the left electrode. Because the plasma potential is always
positive with respect to the boundaries the RF oscillation at the electrode propagates to the plasma
and sheath potentials leading to oscillations in the sheath potential and thickness. Thus, the plasma
potential with respect to the electrodes oscillates while maintaining a mostly flat structure
throughout the plasma bulk i.e., the bulk electric field remains negligible. To illustrate this
behavior, the plasma potential as a function of gap position z, is shown in Figure 1.6 for two 4
1 3
different points in the RF-period with 𝜔𝑡 = 0, 𝜋, 𝜋 and 2 𝜋. Note that while always positive with
2
respect to both electrodes, sheath voltages at the grounded and driven electrode are not always
identical.
In order to examine the behavior of capacitive discharges, a suitable model of its electrical
characteristics is imperative. Due to their differences in charge density and potential configuration
i) The bulk region of the plasma is generally well described by assuming a high electron
density, negligible internal electric fields and a conduction current that is much greater
𝑋𝑝 = 𝑅𝑝 + 𝑗𝜔𝐿𝑝 (1.23)
15
which consists of the bulk plasma resistance 𝑅𝑝 in series with an inductance 𝐿𝑝 . 𝑅𝑝 is
defined by the bulk’s dc conductivity 𝜎𝑑𝑐 , its width d and effective electrode facing
area A:
1 𝑑 𝑚𝑒 𝜈𝑚 𝑑
𝑅𝑝 = = 2 (1.24)
𝜎𝑑𝑐 𝐴 𝑒 𝑛𝑒 𝐴
analogous to the Drude theory in metals [44,45], with the momentum transfer collision
frequency 𝜈𝑚 [16,18]. While the physical origin of the bulk plasma inductance 𝐿𝑝 is
not in line with the common provenance of inductances i.e., the magnetic self-induction
𝑅𝑝 𝑚𝑒 𝑑
𝐿𝑝 = = 2 . (1.25)
𝜈𝑚 𝑒 𝑛𝑒 𝐴
ii) The sheath region of the plasma is mostly defined by displacement current as the low
electron density does not allow for significant amounts of conduction current. Most of
the applied voltage is dropped across the two sheaths. While the entire CCP setup
resembles a plate capacitor, the sheaths themselves effectively do, too; two areas of
high conductivity (electrode and plasma bulk) separated by a low conductivity gap
(sheath) across a relatively large area. The capacitances at both sheaths, 𝐶1 and 𝐶2 ,
non-constant gap width and conductivity. Although the conduction current in each
sheath is small, it is still determined by the balance between ion and electron
contributions. Due to their high mass and inertia, the ion current 𝐼𝑖̅ is generally assumed
to be static with
16
𝐼𝑖̅ = 𝑒𝑛𝑒 𝑢𝐵 𝐴, (1.26)
challenged as part of this thesis it is still valid in the current context as the ion’s inertia
is still significantly higher than the electrons’ and the ion current is thus much less
modulated.
To balance the continuous ion current, the time averaged electron current must
be identical. Because of their low inertia, electron transport in the sheath is strongly
dependent on the sheath potentials temporal and spatial dynamics which are depicted
in Figure 1.6 for 4 different time points in the RF-cycle, 𝜔𝑡 = 0, 𝜋⁄2 , 𝜋 and 3𝜋⁄2
The electrons are confined by the sheath potential for most of the RF period and thus
their current is zero. However, for brief time periods the applied RF voltage causes the
plasma potential at the respective sheaths to be small enough to allow for electron
current to occur. This moment is referred to as the sheath collapse. In the depicted
grounded electrode (x = 𝑙). Since the sheath voltage collapses to zero at the time that
the electrons are transferred to the plate, this acts like an ideal diode across the sheath
whose preferred direction of current flow is into the plasma. In total, sheaths can be
modeled as a non-ideal capacitance, an ideal current source (ion current) and an ideal
Combining these representations leads to a simplified circuit model shown in Figure 1.7 in
which the two sheaths are in series with the bulk conductance and resistance. The voltage 𝑉𝑟𝑓 is
17
Figure 1.7: Circuit diagram of capacitively coupled plasma.
Understanding the electrical properties of CCPs enables some insight into the plasma
heating required to sustain the discharge. Two different heating mechanisms are responsible for
most of the power coupling in CCPs. While both are ultimately based on the acceleration of
electrons in electric potentials and their subsequent momentum transfer collisions, the details have
relevant consequences in terms of the involved scaling laws and control capabilities.
i) Ohmic heating – the oscillating voltage across the entire discharge causes an equivalent
electron current that, through collisions transfers energy to heavy particles [16]. The
̅
time average power deposition per unit area 𝑆𝑜ℎ𝑚 can be described by
18
1 2 𝑑 1 𝑚𝑒 𝜈𝑚 𝑑
̅
𝑆𝑜ℎ𝑚 = 𝐽 = 𝐽2 2 (1.27)
2 𝜎𝑑𝑐 2 𝑒 𝑛𝑒
with current density 𝐽. Because 𝜈𝑚 strongly depends on the gas pressure ohmic heating
ii) Stochastic heating – Due to the changing applied voltage electrons can diffuse into the
active sheath area at the powered electrode when the electron confining electric field is
at its minimum. When the sheath voltage increases again it accelerates electrons back
into the plasma bulk. Because electron heating effectively only occurs during the sheath
expansion this heating mode is also known as sheath expansion heating. Assuming a
Maxwellian electron distribution and sheath densities according to Child’s law the
1 𝑚 𝜈̅𝑒 2
̅
𝑆𝑠𝑡𝑜𝑐 = 𝐽 (1.28)
2 𝑒 2 𝑛𝑒
with the mean thermal electron velocity 𝜈̅𝑒 . Applying Child’s law, the current density
can be approximated with 𝐽2 = 1.73𝑒𝜀𝜔2 √𝑇𝑒 𝑉𝑛𝑠 with the applied voltage amplitude
𝑚
̅
𝑆𝑠𝑡𝑜𝑐 ∝ √𝑇𝑒 𝜀𝑉𝜔2 (1.29)
𝑒
When transmitting power from an external source to a load with complex impedance (such
as a capacitively coupled plasma), some amount of power can be reflected if the output impedance
19
of the generator is not matched to that of the plasma system. The power reflection coefficient, Γ𝑅 ,
is the ratio of power reflected from the plasma reactor relative and power delivered by the power
Z𝐿 − Z0
Γ𝑅 = (1.30)
Z𝐿 + Z0
where Z𝐿 is the impedance of the load (in this case, the combined impedance of the plasma reactor
and the plasma) and Z0 is the output impedance of the power supply and the transmission line
match box) between the plasma and the generator is employed. A simple L-type IMN is shown in
Figure 1.8.
Figure 1.8: Circuit diagram of the matching network in conjunction with the plasma.
It consists of a series inductance L𝑚1 as well as a parallel and series capacitance, C𝑚1 and
C𝐵 , respectively. C𝐵 , called the blocking capacitor as it blocks DC current flow, can affect the
plasma dynamics in vital ways by charging up and creating a large negative DC potential at the
20
1.16 Dual and Multi Frequency RF Power
The frequency dependence of the capacitive heating modes has inspired the use of dual
frequency CCPs, where the power is coupled via two independent low and high frequency
where 𝑉𝑙𝑓 and 𝑉ℎ𝑓 are the voltage amplitudes of the low and high frequency component with
respective frequencies of 𝑓𝑙𝑓 and 𝑓ℎ𝑓 . The basic idea of using two different frequencies
simultaneously was to achieve independent control over both the ion flux and ion bombardment
energy onto the substrate electrode. The ion flux is mostly a function of the bulk plasma density
whereas the ion energy directly mirrors the sheath voltage. It has been shown that higher driving
frequencies generally lead to increased power deposition into the electrons which in turn leads to
increased ionization and higher plasma densities and ion fluxes [59–61]. For an equal power
deposition, reducing the driving frequency requires an increase in the applied voltage. Since this
voltage almost entirely drops across the sheath, an electron starved region, this leads to ion
acceleration inside the sheath and consequently allows for some ion energy control. In general,
0.5–13.56 MHz sources are used as the LF source while 27.12– 81.36 MHz sources are used as
the HF source [62–64]. While some differential control of ion flux and ion energy can be achieved,
in real world application the LF and HF components are not fully independent. They interact
indirectly through the respective changes to plasma properties such as plasma density, sheath
voltage, sheath width, electron temperature etc. and also create novel non-linear effects that are
21
1.17 Plasma Asymmetry and DC self-bias
Most capacitive discharges are asymmetric in one or many respects. The simplest and most
common one is geometrical asymmetry. Because reactor walls in CCPs are generally grounded,
the effective grounded area is larger than the powered area, even if both electrodes have the same
dimensions. A schematic of an asymmetrical CCP setup is shown in Figure 1.9, where the powered
electrode with area A1 is on the left-hand side of the discharge gap and the grounded surface with
Figure 1.9: Schematic of an asymmetric CCP with two different electrode sizes with A 1 < A2 and the blocking
capacitor CB at the powered electrode.
22
The most relevant voltages in the system are the voltages across the sheaths, 𝑉1 and 𝑉2 ,
respectively, the voltage across the blocking capacitor 𝑉𝐵 as well as the voltage generated by the
source 𝑉𝑟𝑓 = 𝑉0 sin (𝜔𝑡). The time average voltage drop across a sheath is well approximated by
Q
V∝ , (1.32)
𝐶
where Q is the total charge contained within the sheath space charge region, and
A
C=𝜀 . (1.33)
𝑑
The conservation of total sheath charge is a fairly good assumption as DC current is not
permitted to flow into and out of the system and the bulk region is mostly quasineutral. It thus
Because no DC voltage is generated by the ideal AC source, this leads to a closed loop over which
according to Kirchhoff’s law [65] the sum of all remaining voltages must equal zero. Accounting
for the opposing polarity of the voltages across the sheath this gives:
𝑉1 − 𝑉2 + 𝑉𝐵 = 0 (1.35)
Considering (1.34), this results in the requirement of a negative voltage across the blocking
The presence of this DC self-bias is well documented [66–69] and is of high relevance as
effectively increases the total potential drop across the powered sheath, as is depicted in Figure
1.9b. This increased potential directly translates to increased directional acceleration of ions
towards the surface, a highly sought after property in CCPs used for semiconductor manufacturing.
23
This high practical relevance has driven considerable research aimed at understanding and
modeling the system’s response to asymmetry. In practice, the presented derivation contains some
critically weak assumptions such as the assumed constant sheath widths d and consequently the
sheath capacitances. Both are, in fact, critically dependent on the sheath voltage itself leading to
nonlinear capacitances and time-varying density profiles in the sheaths. Early model descriptions
used the Child-Langmuir law [70,71] to describe the sheath behavior [68]. The total rf current in
sheath 1 is given by
I1 = ∫ J1 (𝒙) 𝑑 2 𝑥. (1.37)
𝐴1
Because the rf current density is proportional to the sheath width s1 and the voltage drop
𝑉̅1
J1 (𝒙) ∝ (1.38)
𝑠1 (𝒙)
⁄ 3
𝑉̅1 2
𝑛𝑖1 (𝒙) ∝ 2 (1.39)
𝑠1 (𝒙)
1⁄ 1⁄
I1 ∝ 𝑉̅1 4
∫ 𝑛𝑖1 2 (𝒙) 𝑑 2 𝑥 (1.40)
𝐴1
and similarly
1⁄ 1⁄
I2 ∝ 𝑉̅2 4
∫ 𝑛𝑖2 2 (𝒙) 𝑑 2 𝑥 (1.41)
𝐴2
24
1⁄ 4
2 2
̅1
V ∫𝐴 𝑛𝑖2 (𝒙) 𝑑 𝑥
( 2 1 )
̅2 = (1.42)
V ⁄2 2
∫𝐴1 𝑛𝑖1 (𝒙) 𝑑 𝑥
Making the, admittedly weakly motivated, assumption of a uniform ion density with 𝑛𝑖1 (𝑥 ) =
̅1
V A2 4
̅2 = (A1 )
V
(1.43)
This scaling law clearly shows a non-linear relation of the DC self-bias (which is the difference of
̅1 and V
V ̅2) and the electrode area ratio. However, the model details were not in accordance with
̅1
V A2 𝑞
̅2 ≈ (A1 )
V
(1.44)
with q ≤ 2.5.
The theory of asymmetric discharges was later expanded upon by Heil et al [72] who
When sheath 1 is at its maximum extension 𝑠̂1 the opposing sheath is at its minimum width and
can in good approximation be considered fully collapsed i.e., it contains no charge. This in turn
means that all unbalanced charge is contained in sheath and its maximum voltage 𝑉̂1 is given by
𝑒 𝑠̂1
𝑉̂1 = − ∫ 𝑛𝑖 (𝑧)𝑧 𝑑𝑧. (1.46)
𝜀 0
25
This maximum charge 𝑄̂ can alternatively be expressed as a function of the mean charge density
in the sheath
𝑄̂ 1 𝑠̂1
= 𝑒𝑠̂1 𝑛̅1 , with 𝑛̅1 = ∫ 𝑛 (𝑧)𝑧 𝑑𝑧. (1.47)
𝐴 𝑠̂1 0 𝑖
where the sheath integral 𝐼𝑠 is a dimensionless quantity that depends only on the normalized profile
of the sheath density. Combining (1.47) and (1.48) the two sheath voltages can be expressed as
2
𝑒 𝑄̂ 𝐼𝑠1
𝑉̂1 = − ( ) (1.49)
2𝜀 𝐴1 𝑛̅1
and
2
𝑒 𝑄̂ 𝐼𝑠2
𝑉̂2 = − ( ) . (1.50)
2𝜀 𝐴2 𝑛̅2
The absolute of the sheath voltage ratios yields the asymmetry parameter
consistency throughout this thesis and in acknowledgement of the fact that the discipline of
electrical engineering already has a sufficient number of physical properties being referred to as
‘e’, ‘E’ or ‘𝜀’, ‘𝛽’ will be used exclusively. Through the asymmetry parameter, experimentally
established scaling laws with respect to the area ratio (with q ≤ 2.5) are much better represented.
Perhaps more notably, this formulation hints at additional factors that can cause asymmetry in a
discharge, in addition to the geometric asymmetry of the reactor. A host of different sources for
discharge asymmetry are subjects of active research. This includes asymmetry induced by certain
26
magnetic field configurations, differing electrode material properties such as secondary electron
emission coefficients, electron beam injection or special applied RF voltages. Some of these
approaches are of particular interest as they are not fixed (in contrast to the reactor geometry) and
possibly allow for active discharge control. Asymmetry based on asymmetric applied voltages will
Under certain conditions asymmetry, i.e., the generation of a DC self-bias voltage, can be
induced even in perfectly geometrically symmetric systems by applying asymmetric voltages. Its
occurrence is referred to as the electrical asymmetry effect (EAE). While this effect has, quite
possibly inadvertently, been present in many multi frequency CCPs, its active consideration and
theoretical description goes back to foundational work by Heil, Schulze, Czarnetzki, Brinkmann
The maximum absolute sheath voltage is defined by the minimum voltage at the powered
electrode, keeping in mind the polarity of the sheath voltages i.e. the plasma potential is always
positive with respect to the enclosing electrodes, it is a combination of the minimum of the applied
Similar reasoning for the grounded electrode and the maximum of the applied voltage 𝑉̃𝑚𝑎𝑥
𝑉̃𝑚𝑎𝑥 + 𝛽𝑉̃𝑚𝑖𝑛
𝑉𝑑𝑐 = − (1.53)
1+𝛽
which, in the case of a single frequency sinusoidal applied voltage 𝑉𝑟𝑓 (𝑡) = 𝑉0 sin(𝜔𝑡), reduces
to
27
1+𝛽
𝑉𝑑𝑐 = − (1.54)
1+𝛽
In this scenario, no electrical asymmetry is generated. More general, no time varying applied
𝑉𝑎𝑠𝑦𝑚 (𝜑) = −𝑉𝑎𝑠𝑦𝑚 (−𝜑) ) with respect to phase 𝜑 = 𝜔𝑡 leads to the generation of a DC self-
bias in an otherwise symmetric system. Ergo, in order to evoke electrical asymmetry, a voltage
While there is, technically, an infinite number of functions that mathematically meet these
requirements, arbitrary voltage functions can lead to technical difficulties in their generation and
impedance matching, especially at high powers. It is for this reason that for technological
applications the focus has been on harmonically constructed waveforms where the applied voltage
waveform is comprised of a superposition of a fundamental sine wave and its higher harmonics.
𝑁
considered the fundamental), N is the total number of harmonics used, 𝑎𝑘 is the relative amplitude
of each harmonic, 𝜑𝑘 is the relative phase shift of each harmonic and 𝑉𝑡𝑜𝑡 is the total applied
tailoring (VWT) has applications beyond the generation of a DC self-bias, however, in the
presented thesis this will be its main purpose, which in turn guides some principles guiding its
design and construction. Because odd harmonics do not contribute to the DC self-bias, they are
avoided. The technical complexity increases with the number of applied harmonics, so their
28
number is limited to 5 in this work. Lastly, for a given 𝑉𝑡𝑜𝑡 it exists a solution that optimizes the
The resulting time dependent voltage waveforms are shown in Figure 1.10 for different
Figure 1.10: Voltage waveforms VVWT(t) based on (1.56) for 𝜑 = 0, 45, 90, 135 and 180°.
29
Due to their resemblence the waveform resulting from 𝜑 = 0° is often reffered to as “peak”
symmetric CCP discharge the peak and valley waverfom have been shown to produce maximum
absolute value for 𝑉𝑑𝑐 with identical magnitude and opposing polarities; negative for 𝜑 = 0° and
positve for 𝜑 = 180°, respectively. The 𝜑 = 90° waveform is symmetric and thus does not
produce a DC self-bias. However, the assumption geometric symmetry is not in line with real
Surface processes, especially ones relevant to the etching of semiconductors, are sensitive
to the energy and angle of incidence of ions hitting the surface. For this reason, the control and
tailoring of ion angular and energy distributions is of high technical relevance. Ions are generally
accelerated to the electrode by the sheath electric field. The maximum energy ions can gain by
traversing the sheath is the sheath voltage. However, due to the temporal dynamics of the sheath
not all ions gain the same amount of energy but rather obtain a characteristic ion energy and
angular distribution IEAD corresponding to the properties of the ion sheath traversal. It is
i) The thick sheath or high frequency limit. Here, the sheath is considered thick enough so
that ions can only cross its distance on time scales much larger than the RF period. Without
considering ion collisions with the background gas, this idealized conception yields
ii) In the thin sheath or low frequency limit, the ion energy distribution at the surface perfectly
matches the temporal behavior of the sheath voltage. Ions are considered to be mobile
30
enough to traverse the surface on timescales much smaller than the RF period, gaining
Realistically however, while slow and inert, compared to electrons, ions may possess some
limited ability to react to the changing sheath potential. This ability is a function of their weight,
the sheath thickness and the driving frequency. For single frequency RF discharges this can result
in characteristic bimodal distributions such as those depicted in Figure 1.11 for different particle
Given the use of two or more frequencies, as is the case with voltage waveform tailoring,
this simple scaling behavior vanishes, and a more detailed analysis of the sheath dynamics
becomes necessary. A detailed analysis of the ion sheath transit behavior resulting from the use of
31
Figure 1.11 a) IEDs at the powered electrode of a rf CF4 discharge driven at 13.56 MHz from Kuypers and Hopman
[129]. b) Particle in Cell simulation results showing IEDs of helium ions hitting the target electrode of rf discharges
driven at frequencies from 1 MHz to 100 MHz. Reproduced from Kawamura et al [130].
Some of the theory provided above either directly or indirectly assumes specific relations
between the negative charge carries; electrons (light and high energy) the positive charge carriers,
ions (heavy and medium to high energy) and neutrals (heavy and low energy) which relied on the
presence of only positive ions. Under certain conditions, however, significant amounts of negative
ions may be present, depleting electrons, and shifting the mass and inertia ratios of negative and
positive charge carriers. The extreme case, in which no electrons are present, and the charge
32
balance is provided by negative ions, is called an ion-ion plasma. The intermediate regime is
characterized by the ratio of negative ions and electrons, called plasma electronegativity. Negative
𝑒 + 𝐴 + 𝑀 → 𝐴− + 𝑀, (1.57)
where a third body M is required to conserve energy and momentum, dissociative attachment
𝑒 + 𝐴𝐵 → 𝐴− + 𝐵, (1.58)
𝑒 + 𝐴𝐵 → 𝐴− + 𝐵 + + 𝑒. (1.59)
In either case, the propensity for electron attachment is species specific. The atomic species with
the highest specific electronegativity according to the Pauling scale, are F and O, both of which
can be expected to be present in relevant quantities due to the usage of oxygen and fluorocarbons
33
1.23 Plasma in Semiconductor Processing
applications, light emission (LED) or absorption (photovoltaic) are just materials with different
configurations to elicit specific physical behavior. Take for example a (by modern standards)
simple device such as a planar metal oxide field effect transistor (MOSFET), shown in Figure 1.12.
Doped n-type regions embedded in a p-type substrate are contacted by high conductivity
materials and a thin oxide film insulates the gate from the channel region below. Its electrical
properties are solely determined by the makeup and geometry of the different materials.
Generating these types of structures with suitable precision, repeatability at an appropriate cost
and volume is of central importance to the semiconductor industry and by extension to much of
The scale of modern devices can be on the order of only several nano meters, and they
scales, semiconductor devices are created by means of chemical, thermal and optical processes.
Plasmas play a role in a host of these processes such ion implantation used for doping [79], film
deposition via sputter processes [80,81], plasma enhanced chemical vapor deposition (PECVD)
[82,83] or atomic layer deposition (ALD) [84,85] as well as material removal by direct or
34
downstream chemical etching, through reactive ion etching (RIE) [86,87] or related processes such
as atomic layer etching (ALE) [88–91]. A typical set of steps to create a patterned film is shown
in Figure 1.13.
Figure 1.13: Manufacturing of strucured integrated circuit devices through deopsition, etch and pattern transfer: a)
metal film deposition; b) photoresist deposition; c) patterned optical exposure; d) photoresist development; e) film
etch; f) photoresist removal. Based on [16].
Starting with a blank substrate, a homogeneous film is deposited in a), followed by the
deposition of a photoresist (PR) film b). Photoresist is a light sensitive material that changes its
chemical structure when it is exposed to certain types of light, making it easier (positive PR) or
harder (negative PR) to etch. In c) parts of the photoresist are exposed to light based on a pattern
that partially blocks it. The exposed PR is subsequently removed through chemical etching, leaving
35
behind a patterned mask d). In e), through plasma based anisotropic etch processes this pattern is
transferred to the film by removing the material that is no longer covered and protected by the
photoresist. Finally, the photoresist is removed, leaving behind only the patterned film in f). While
i) Sufficiently high etch and deposition rates as well as the ability to control them
precisely. High rates are highly desirable as this allows for throughput and subsequently
ii) High etch-selectivity between the different materials, “A” and “B”, 𝜉𝐴,𝐵 , which is
E
defined as the ratio of their respective etch rates”, 𝜉𝐴,𝐵 = EA . For example, in the model
B
process discussed above, high selectivity is required between the exposed and non-
exposed PR, the PR and the film as well as the film and the substrate.
iii) Controlled anisotropy of the etch process, since a purely isotropic etch process does
not allow for precise transfer of mask patterns and as shown in Figure 1.14. An isotropic
etch, as depicted in sub-figure a), causes undercut (etching underneath the mask), non-
straight sidewalls and overall insufficient control over the shape of the feature.
36
Figure 1.14: a) Isotropic etch processes result in an uncontrolled and ultimately undesirable feature. b)
Ion bombardment enables anisotropic etching.
Technological plasmas are a well-established solution that meets these criteria due to some
of their fundamental working principles. The plasma’s ability to etch is not necessarily native to
the used neutral, unexcited gases. Often, (relatively) stable feedstock gases are used, and the
reactive components are generated within the etch chamber through dissociation or other
modification as a direct result of the highly energetic particles inside the plasma. Because of this,
the reactivity and selectivity of the etchant gas can be precisely controlled (including time
dependent control) via the electric power deposition into the plasma. Short lived species that
otherwise could not be supplied in a stable form can be utilized as they are actively generated on
site. Some of the removal processes are synergetic processes requiring high energy ions as well as
thermal neutrals. Because ions are non-neutral, their trajectories are sensitive to electric fields.
Imparting directionality onto the ions through acceleration in electric fields is the source for
anisotropic etching in most plasma systems. While this is not a complete list of reasons, it
37
nevertheless highlights the utility and relevance of plasmas in semiconductor etch processes. Due
to the shrinking dimensions of process nodes and trends toward ever increasingly complex 3-
dimensional structures the etching of high aspect ratio (HAR) features has become an area of
substantial technological challenges. HAR features in this context are defined as structures in a
substrate that possess narrow plasma facing openings with large etch depths. An example of HAR
features used for DRAM applications is shown in Figure 1.15. These high aspect ratio features are
more susceptible to geometric defects and reductions in etch rate, leading to increased process
requirements with respect to variability, ion energy distribution, etch rate etc.
Figure 1.15: Cross section SEM image of memory channel hole pattern after etching [131].
38
1.24 Adsorption
In the context of this work, adsorption is the adhesion of atoms, ions or molecules from a
gas to a surface and constitutes the basis for deposition and some surface modification steps. In
general, all adsorption processes can be described as an incident particle’s capture in a potential
well close to the surface. The depth, distance and general shape of that potential are determined by
the physical principle on which the adsorption is based. Potential diagrams corresponding to
1.24.1 Physisorption
the Van der Waals Force. Physisorption possesses very low binding energy ( ∆𝜀𝑝ℎ𝑦𝑠 = 10 −
100 meV ) which means that is generally considered non-permanent as even room temperature
thermal energies can cause desorption. However, since this type of bond is rather universal with
respect to bonding partners and non-local it allows for significant surface mobility and surface
step where the adsorbed particle finds a stronger surface bond type after diffusing to a more
39
1.24.2 Chemisorption
Chemisorption is based on the formation of chemical bonds between surface and incident
availability and nature of the bond is determined by the configuration of both partners outer shell
electron. Generally, the bonds are much stronger energy ( ∆𝜀𝑐ℎ𝑒𝑚 ≥ 500 meV ) and depending on
the interacting species, multiple bonds can be formed further increasing the total binding
energy.[92]
Different mechanisms for the removal of material can be used to achieve desirable etch
chemical etching and a combination of the two in the form of ion enhanced chemical etching.
Physical processes such as sputtering are usually anisotropic but fairly slow with very little control
over selectivity. Chemical etch processes can be tuned to be very selective, provide high etch rates
but usually act almost completely isotopically. The goal is to combine the benefits of multiple
fundamental basic mechanism to achieve a reliable, fast and efficient etch process with high
control over anisotropy and selectivity. Some basic processes relevant to plasma based material
40
Figure 1.17: Different types of material removing surface reactions. a) physical sputtering, b) thermal etching, c)
chemical removal of ion activated species and d) physical removal of chemically modified species.
Sputtering is the ejection of surface species due to the bombardment by ions or fast neutrals.
The incident particle collides with the surface and transfers its energy to it. Above a certain
threshold energy 𝜀𝑡ℎ,𝑠𝑝𝑢𝑡 this leads to the ejection of on or more surface particles:
The ratio of the number of incident and ejected particles is called the sputter yield 𝛾𝑠𝑝𝑢𝑡 , which is
generally a function of energy and angle. While angular dependences vary based on specific details
such as the incident and sputtered species, crystalline structure or molecular composition, the
specific case or Cl sputtering SiO2, depicted in Figure 1.18 can nevertheless provide some insight.
Generally, sputter yield falls off drastically at narrow angles, because very little energy is
transferred in these glancing collisions. The maximum sputter yield is generally not a normal
incidence, because while it is optimal for energy transfer, it is not guaranteed to provide the best
41
result in terms of material ejection as the collisional cascade penetrates very deep and energy is
lost to a point where the energy transfer to the particles located on the surface is reduced. [16,93,94]
Figure 1.18: Etching yield of polysilicon and silicon dioxide by 100 eV Ar and Cl as a function of ion incident
angles for saturated chlorine fluxes. Reproduced from Chang et al [93].
The process of a surface species 𝐴(𝑠) reacting with a gas phase species 𝐵(𝑔) creating a
is referred to as direct etching or thermal etching. This process is almost invariably isotropic
because the relevant etchant species possess a uniform, thermal angular distribution. Thermal etch
processes are necessarily confined to a singular step but rather tend to occur in multiple subsequent
surface modification steps with the final one only forming a volatile compound:
42
𝐴𝐵2(𝑠) + 𝐵(𝑔) → 𝐴𝐵3(𝑠) (1.64)
For most processes the rates of reactivity of the surface decreases with increasing
attachment, i.e., the rate of reaction of (1.65) is lower than (1.64). Pure, thermal etch processes are
predominantly limited by the availability of the educts, given by the reactant fluxes to the surface
Ion enhanced etching or reactive ion etching refers to the simultaneous use of ion surface
bombardment and chemical etching with the aim to combine the high selectivity and etch rate of
chemical processes with the directionality of physical sputtering. This is commonly achieved by
using feed gas mixtures that contain or produce chemically active radicals in conjunction with a
CCP or CCP-like etch reactor where radicals and highly energetic ions are simultaneously
impinging on the wafer surface. In most scenarios, the removal process consists of multiple steps.
One of the mechanisms, depicted in Figure 1.17c) relies on ion surface activation. The high
energy ions carry and deposit enough energy to activate the surface. The nature of the surface
activation can differ, depending on the process, but is generally based on the breaking of bonds, to
lower the total surface bonding energy, and increase reactivity through the creation of dangling
bonds. The activated surface sites subsequently react with gas phase radicals similar to the
43
Alternatively, the role of the ion bombardment can be the removal of more inert species
As discussed in Section 1.25.2 the reactivity of surface compounds can decrease as they form more
saturated species. Often, this process coincides with a reduction of surface bonds, which reduces
the total surface bonding energy, making them easier to remove by physical means such as
sputtering. In many scenarios, the total etch rate of the combined process can exceed the sum of
the individual processes. This synergy is generally highly desirable as it has desirable effects on
In the context of the ever-increasing requirements for aspect ratio of the etched features, a
major technical challenge is posed by aspect ratio dependent etching (ARDE) or RIE-lag. In HAR
etch process, as the etch front propagates downwards, a drastic reduction in etch rate is often
ions. Neutral transport to the bottom of the feature is limited by a host of factors. In scenarios
where the mean free path of a neutral thermal particle is on the same scale or larger than geometric
restrictions, as is the case in narrow high aspect ratio features, transport is governed by Knudsen
diffusion which severely limits neutral gas transport deep into the feature. This is coupled with the
loss of radicals during sidewall collisions, the number of which increases with increases etch depth
and aspect ratio [98,99]. It has been shown that to some extent the ARDE can be controlled via the
gas pressure and substrate temperature [98,100,101]. Through an increase in pressure the lack of
44
gas transport to the feature bottom can be compensated by simply supplying more total flux, while
the lower temperature reduces the surface reactivity and reduces the loss due to surface reactions.
The ion transport effect on ARDE is mostly related to ion losses to the wall. The maximum
angle at which an incident ion is able to directly hit the etch front is the critical ion angle Θ𝑐 , shown
Figure 1.19: Critical ion angle of incidence for direct hit on the etch front for a) low and b) high aspect ratio feature.
As the feature etch progresses, the effective aspect ratio of the feature increases and Θ𝑐
decreases accordingly which in consequence leads to a decrease of total ion flux to the etch front.
This dependence on the ion angular distribution for HAR is one key factor for the requirement of
narrow ion distributions. The reduction of etch rate due to lack of neutral and ion transport to the
bottom of the feature are respectively known as the neutral and ion starved regime.
45
1.27 Intra Feature Charging
The highly anisotropic, near normal, high-energy ions can penetrate deeply into HAR
features whereas the electrons typically have nearly thermal and angularly broad distributions onto
the wafer [102]. When etching dielectric (or low conductivity materials), these conditions result in
the lower echelons of the feature being charged positively and the upper echelons being charged
negatively [103,104]. This differential charging generates electric field components within the
feature [103–105] The intra-feature electric fields can deviate ion trajectories from the vertical
which can lead to undesired consequences including a reduction of the ion flux at the bottom of
the feature [106], and profile distortion such as notching, twisting and bowing [103–105,107–110]
Figure 1.20: Surface charge effects responsible for notching, trenching and twisting in HAR etch processes.
operation with ever higher ion energies to minimize the effects of ion deflection. However, this
46
approach must overcome several challenges. Increasing the ion energy increases the power density
at the wafer surface, leading to wafer heating which, unchecked, can stress the thermal budget of
the process [22]. In HVM (high volume manufacturing) additional wafer cooling is applied. While
this strategy has been very successful it adds technological complexity to the etch system and is
ultimately subject to diminishing returns due to the limited heat transport of coolants and the wafer
itself.
Another challenge is that the sheath thickness is a function of the sheath voltage and, by
extension, is a function of the CCP power. If the source power and plasma density are relatively
constant, increasing the incident ion energy by increasing the applied bias voltage will ultimately
lead to a thicker and more collisional sheath. The more collisional sheath will produce a lower
energy and broader angular distribution of the incident ion flux. The higher ion energies are also
incident onto the photoresist or other hard masking materials, resulting in higher physical
sputtering rates, which then decrease the selectivity of the dielectric etch with respect to the mask.
Maintaining high selectivity is critical during the typically long etch times required for HAR
features [111].
negative charge carriers into the feature. Once such method utilizes power-pulsing to generate an
ion-ion plasma during the inter-pulse period. Acceleration of negative ions into the feature during
the interpulse period helps alleviate positive charging [112]. This technique is challenged by the
difficulty of sustaining a thin sheath during the low-plasma density afterglow, and so the ion
acceleration through the sheath is collisional. Another technique utilizes a negative DC bias on the
top electrode to generate an electron-beam-like flux of electrons into the feature [102].
47
1.28 Sidewall Passivation
While ion assisted etch processes possess some intrinsic anisotropy, some etching of the
sidewall occurs, nevertheless. This is due to non-ideal ion distributions that hit the sidewalls to
some (lesser) degree, a non-zero etch rate even without ions or a combination of the two. Often
this is addressed by sidewall passivation, either as an intrinsic part of the process or by intentional
design. Adsorption of passivating species on the sidewalls, as depicted in Figure 1.21 can produce
a protective layer that slows down or stops the lateral attack of etchant species and reduces
undercutting of the mask and bowing. The chemical makeup of this passivation layer is process
dependent and can be the result of polymer deposition from Fluorocarbon gas mixtures [113] or
the formation of a chemically inert oxide layer [114]. In many scenarios the sidewall passivation
mechanism is not self-limiting and excessive deposition of the passivating species causes a
reduction of the feature diameter known as necking or in extreme cases a complete clog of the
feature. This is especially relevant in HAR processes where the diameter of the opening is very
48
1.29 Computational Modeling
Plasma processes are complex systems, usually operated in very controlled environments
that are sensitive to changes in geometry, materials and operating conditions which makes direct
measurements notoriously difficult. The measurement of some of the most fundamental plasma
properties is often performed using probes such as Langmuir probes [115,116], resonant probes
[117,118] or retarding field analyzers [119,120], which perturb the plasma and generally lack fine
spatial or temporal resolution. While some non-invasive techniques like optical measurements
[121–127], or electrical analysis exist, they can lead to significantly increased technical complexity
as well as cost and may still not capture all relevant quantities. Some of the plasmas fundamental
mechanisms may never be directly measured in a reasonable processing setup which has for a long
time been a major driver behind the development of computational models that aim to accurately
represent the inner workings of plasma processes, allowing for functional and most importantly,
flexible insight into otherwise opaque plasma processes. This culminates in the ultimate vision of
“digital twins” where entire etch processes are perfectly reproduced in simulations, allowing their
with the actual physical entity, saving time, cost and providing absolute insight [128]. While this
vision has not fully materialized, computational modeling has nevertheless become an integral part
of academic and industrial research efforts in the field of low temperature plasmas and
semiconductor manufacturing processes. In reality, both surface and gas phase properties and
behavior are fundamentally defined by atomic or molecular interactions which, due to the sheer
such as reactor or wafer dimensions, which are on the order of tens of cm. Because of this, the
particle interactions must either be reduced by clustering similar particles into superparticles on
49
static cells (kinetic approach) or reducing their transport behavior to idealized quantities such as
densities, fluxes, temperatures etc. (fluid approach). While the nature of the assumptions made
differ in detail and derivation, they nevertheless require the usage of condensed macroscopic
interaction parameters such as rates and probabilities which are ultimately supplied to the
simulation in the form of external datasets, either based on experimental data or from mor complex
ab initio models. The quality of these datasets can critically affect the validity of simulation results
and thus great care must be taken to ensure that they accurately reflect real world behavior.
50
1.30 Goals and Scope of this Dissertation
This work aims to identify and computationally test techniques to improve upon critical
aspects of HAR feature etch processes into SiO2 using fluorocarbon gas mixtures. This includes
the usage of special tailored voltage waveforms as a source of plasma heating and how they affect
neutral and charged particle dynamics as well as subsequent effects on feature etch performance
and wafer charging. Previous work has shown that the use of voltage waveform tailoring can be
used to control the DC self-bias and ion as well as electron incident energy onto the surface. The
motivation of these investigations was the control of HAR etching and mediation of feature charge
effects, but it has thus far not been applied to 2D systems or relevant gas compositions and neither
has the direct link to etch behavior been causally verified. To that end, this thesis employs well
i. Verify the occurrence of the electrical asymmetry effect and electric field reversal,
model.
ii. Explore effects of the VWT that require a 2-dimensional setup such as effects on
iii. Expand the tested range of gas chemistries to include electronegative gases and
iv. Provide a direct causal link between charged particle dynamics and etch behavior by
simulating HAR surface etch processes directly coupled to the gas phase simulations.
v. Test the established and extended theories of the link between ion energy and DC self-
51
vi. Additionally, to address the stated issue of a model’s dependence on physical
parameters, this thesis provides a framework for the tuning and optimization of model
In Chapter 2, the computational models used in this thesis are described. The Hybrid
Plasma Equipment Model (HPEM) was used to model the gas phase plasma phenomena and the
Monte Carlo Feature Scale Model (MCFPM) was used to represent the surface etch processes.
The use of tailored voltage waveforms for the generation of directional electrons and ions
is investigated in Chapter 3 with special focus on the gas composition in terms of electronegativity.
Building on the findings of Chapter 3, the investigation is expanded to appropriate etch gas
mixtures and includes the examination of feature etch processes. The results are presented in
Chapter 4.
The link between the ion energy control through plasma asymmetry and resulting DC-self
Chapter 6 introduces and investigates the use of an automatic optimization scheme for
surface processes.
models and potential future studies to expand upon the work in this thesis are also discussed.
52
1.31 References
[1] Michael. Zeilik, E. v. P. (Elske van P. Smith and E. v. P. (Elske van P. Smith, Introductory
(2019).
[5] M. Boulos, P. Fauchais and E. Pfender, Thermal Plasmas: Fundamentals and Applications,
(1994).
[6] V. A. Nemchinsky and W. S. Severance, J Phys D Appl Phys 39, R423 (2006).
[7] E. Menthe, K. T. Rie, J. W. Schultze and S. Simson, Surf Coat Technol 74–75, 412 (1995).
[11] N. Puač, M. Gherardi and M. Shiratani, Plasma Processes and Polymers 15, 1700174
(2018).
[13] S. Bekeschus, T. von Woedtke, S. Emmert and A. Schmidt, Redox Biol 46, 102116 (2021).
53
[14] Z. Chen, G. Garcia, V. Arumugaswami and R. E. Wirz, Physics of Fluids 32, 111702 (2020).
[15] F. F. Chen, Introduction to Plasma Physics and Controlled Fusion, Springer (2006).
[18] P. Chabert, T. V. Tsankov and U. Czarnetzki, Plasma Sources Sci Technol 30, 024001
(2021).
[22] B. Wu, A. Kumar and S. Pamarthy, Journal of Applied Physics 108, 51101 (2010).
[23] S. J. Doyle, A. R. Gibson, R. W. Boswell, C. Charles and J. P. Dedrick, Plasma Sources Sci
[25] E. Kawamura, V. Vahedi, M. A. Lieberman and C. K. Birdsall, Plasma Sources Sci. Technol
8, (1999).
[26] D. Levko, R. R. Upadhyay, K. Suzuki and L. L. Raja, Journal of Vacuum Science &
[27] E. Kawamura, V. Vahedi, M. A. Lieberman and C. K. Birdsall, Plasma Sources Sci Technol
8, R45 (1999).
54
[28] S. D. Baalrud, B. Scheiner, B. T. Yee, M. M. Hopkins and E. Barnat, Plasma Sources Sci
[30] O. Murillo, A. S. Mustafaev and V. S. Sukhomlinov, Technical Physics 64, 1308 (2019).
[32] S.-J. Chung, P. Luan, M. Park, A. Metz and G. S. Oehrlein, Journal of Vacuum Science &
[33] Z. H. Bi, Y. X. Liu, W. Jiang, X. Xu and Y. N. Wang, Current Applied Physics 11, S2
(2011).
[36] S. Rauf, K. Bera and K. Collins, Plasma Sources Sci Technol 19, 015014 (2009).
[37] W. Jiang, X. Xu, Z. L. Dai and Y. N. Wang, Phys Plasmas 15, 33502 (2008).
[38] T. Kitajima, Y. Takeo, N. Nakano and T. Makabe, J Appl Phys 84, 5928 (1998).
55
[39] J.-K. Liu, E. Kawamura, M. A. Lieberman, al - and S. Rauf, Plasma Sources Sci Technol
[40] S. Rauf, K. Bera and K. Collins, Plasma Sources Sci Technol 17, 035003 (2008).
[42] A. Agarwal, S. Rauf and K. Collins, Plasma Sources Sci Technol 21, 055012 (2012).
[43] T. Lafleur, P. Chabert and J. P. Booth, Plasma Sources Sci Technol 23, 035010 (2014).
[51] E. Kawamura, M. A. Lieberman and A. J. Lichtenberg, Phys Plasmas 21, 123505 (2014).
[52] Z. Wang, A. J. Lichtenberg and R. H. Cohen, IEEE Transactions on Plasma Science 26, 59
(1998).
[55] E. Kawamura, M. A. Lieberman and A. J. Lichtenberg, Phys Plasmas 13, 53506 (2006).
[56] C. Qu, S. J. Lanham, S. C. Shannon, S. K. Nam and M. J. Kushner, J Appl Phys 127, 133302
(2020).
56
[57] K. Kurokawa, IEEE Trans Microw Theory Tech 13, 194 (1965).
[58] Z. H. Bi, Y. X. Liu, W. Jiang, X. Xu and Y. N. Wang, Current Applied Physics 11, S2
(2011).
[59] H. H. Goto, H.-D. Löwe, T. Ohmi and H.-D. Lowe, Journal of Vacuum Science &
Frazier and V. Vahedi, Journal of Vacuum Science & Technology B: Microelectronics and
6, 58 (1993).
[62] A. Derzsi, E. Schüngel, Z. Donkó and J. Schulze, Open Chem 13, 346 (2015).
[63] Y. Xu, 徐轶君, X. Wu, 吴雪梅, C. Ye and 叶超, Plasma Science and Technology 15, 1066
(2013).
[64] S. Rauf, P. Tian, J. Kenney and L. Dorf, Journal of Vacuum Science & Technology B 40,
32202 (2022).
[66] R. A. Gottscho, G. R. Scheller, D. Stoneback and T. Intrator, J Appl Phys 66, 492 (1989).
[67] T. Kaneda, T. Kubota, M. Ohuchi and J. S. Chang, J Phys D Appl Phys 23, 1642 (1990).
[69] R. A. Gottscho, G. R. Scheller, D. Stoneback and T. Intrator, J Appl Phys 66, 492 (1989).
57
[72] B. G. Heil, U. Czarnetzki, R. P. Brinkmann and T. Mussenbrock, J Phys D Appl Phys 41,
165202 (2008).
[73] J. Schulze, E. Schüngel, Z. Donkó and U. Czarnetzki, Plasma Sources Sci Technol 20,
15017 (2011).
[74] U. Czarnetzki, J. Schulze, E. Schüngel and Z. Donkó, Plasma Sources Sci Technol 20,
024010 (2011).
Mussenbrock, Z. Juhasz, Z. Donkó, A. Derzsi, E. Lee and J. Schulze, J Phys D Appl Phys
[77] J. Schulze, E. Schüngel and U. Czarnetzki, J Phys D Appl Phys 42, 092005 (2009).
(2014).
[81] Y. Yamamura and H. Tawara, At Data Nucl Data Tables 62, 149 (1996).
for Films and Coatings: Science, Applications and Technology 392 (2010).
[84] M. Leskelä and M. Ritala, Angewandte Chemie International Edition 42, 5548 (2003).
[85] R. W. Johnson, A. Hultqvist and S. F. Bent, Materials Today 17, 236 (2014).
58
[86] M. Huff, L. Romano and K. Jefimovs, Micromachines 2021, Vol. 12, Page 991 12, 991
(2021).
[87] F. Laermer, S. Franssila, L. Sainiemi and K. Kolari, Handbook of Silicon Based MEMS
of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35, 031306
(2017).
[90] J. K. Kim, S. Il Cho, S. H. Lee, C. K. Kim, K. S. Min and G. Y. Yeom, Journal of Vacuum
Science & Technology A: Vacuum, Surfaces, and Films 31, 061302 (2013).
W. Rangelow and S. Cabrini, Plasma Processes and Polymers 16, 1900051 (2019).
[92] P. W. Atkins, J. De Paula and J. Keeler, Oxford University Press, Oxford Physical
Chemistry, (2017).
1319 (2001).
[94] G. Packard, A. Rosenfeld, G. S. Oehrlein and S. Hamaguchi, Plasma Sources Sci Technol
59
[96] R. L. Bates, M. J. Goeckner and Lawrence. J. Overzet, Journal of Vacuum Science &
[97] L. Meng, J. Li, C. Zhao and J. Yan, ECS Solid State Letters 3, Q25 (2014).
[98] T. Panagopoulos and T. Lill, Journal of Vacuum Science & Technology A 41, 33006 (2023).
[99] S. Gruener and P. Huber, Phys Rev Lett 100, 064502 (2008).
[100] T. Lill, ; M Grimbergen, ; D Mui, ) M Grimbergen and D. Mui, Journal of Vacuum Science
[101] (2002).
[102] V. M. Donnelly and A. Kornblit, Journal of Vacuum Science & Technology A: Vacuum,
[104] C. Han, Z. Wu, C. Yang, L. Xie, B. Xu, L. Liu, Z. Yin, L. Jin and Z. Huo, Semicond Sci
[107] R. J. Belen, S. Gomez, M. Kiehlbauch and E. S. Aydil, Journal of Vacuum Science &
60
[109] G. S. Hwang, Journal of Vacuum Science & Technology B: Microelectronics and
[110] T. Nozawa, T. Kinoshita, T. Nishizuka, A. Narai, T. Inoue and A. Nakaue, Jpn J Appl Phys
[111] D. Zhang, S. Rauf and T. Sparks, IEEE Transactions on Plasma Science 30, 114 (2002).
[112] A. Agarwal, S. Rauf and K. Collins, J Appl Phys 112, 33303 (2012).
[113] G. S. Oehrlein and Y. Kurogi, Materials Science and Engineering: R: Reports 24, 153
(1998).
[118] N. S. J. Braithwaite and R. N. Franklin, Plasma Sources Sci Technol 18, 014008 (2008).
[119] Y. X. Wei, S. Q. Liu, X. X. Li, H. L. Shen, M. G. Huang and P. K. Liu, Nucl Instrum
[120] Y. Yuan, J. Bansky, J. Engemann and A. Brockhaus, Surf Coat Technol 74–75, 534 (1995).
[121] E. Slikboer, K. Acharya, A. Sobota, E. Garcia-Caurel and O. Guaitella, Sci Rep 10, 2712
(2020).
61
[122] B. Huang, C. Zhang, I. Adamovich, Y. Akishev and T. Shao, Plasma Sources Sci Technol
[123] T. Darny, G. Bauville, M. Fleury, S. Pasquiers and J. Santos Sousa, Plasma Sources Sci
[124] L. Invernizzi, N. Sadeghi, F. P. Sainct and P. Guillot, Plasma Sources Sci Technol 31,
035002 (2022).
[126] C. Y. T. Tschang, R. Bergert, S. Mitic and M. Thoma, J Phys D Appl Phys 53, 215202
(2020).
[127] G. Nayak, M. S. Simeni, J. Rosato, N. Sadeghi and P. J. Bruggeman, J Appl Phys 128,
243302 (2020).
[130] E. Kawamura, V. Vahedi, M. A. Lieberman and C. K. Birdsall, Plasma Sources Sci Technol
8, R45 (1999).
[131] Y. Kihara, M. Tomura, W. Sakamoto, M. Honda and M. Kojima, 2023 Symposium on VLSI
62
Chapter 2 : Description of the Models
This thesis is based on computational models that capture the gas phase dynamics and
surface evolution of the coupled etch process. Due to the significant difference in relevant time
and length scales, the coupled system consists of two discrete models representing the gas phase
and surface evolution separately. The modeling suite used in this work is schematically shown in
Figure 2.1.
Figure 2.1: Flowchart of coupled modeling suite consisting of HPEM and MCFPM.
63
The Hybrid Plasma Equipment Model (HPEM) is used to simulate the reactor scale gas
phase and is described in Section 2.1. The surface incident fluxes of electrons, ions and neutrals
as well as their energy and angular distribution are generated by the HPEM and used as input for
the feature scale surface model. The Monte Carlo Feature Scale Model (MCFPM) simulates the
actual etch process in three dimensions and generates, among others, the temporal evolution of the
feature geometry, composition and electric potential within it. It is described in Section 2.2.
The Hybrid Plasma Equipment Model (HPEM) is a 2-dimensional model which resolves
plasma phenomena in a time-slicing approach and incorporates fluid treatment for all species with
the option for limit kinetic representation of charged species. HPEM as a whole is based on a
modular paradigm where each module addresses specific physical regime and is coupled to others
by exchanging physical quantities – electric and magnetic fields, densities, rate coefficients etc.
The major modules used in this work are the Fluid Kinetics Poisson Module (FKPM), the Electron
Energy Transport Module (EETM), and the Plasma Chemistry Monte Carlo Module (PCMCM)
[1].
The coarse program flow is depicted in Figure 2.1. The electron distributions relevant to
their impact reactions are obtained in the EETM, by employing a kinetic description using a Monte
Carlo approach. The electron impact reaction rates k (r , ) are used to determine source terms for
electron impact reaction S (r , ) . The spatially resolved source terms are used as inputs to the Fluid
Kinetics Poisson Module (FKPM). FKPM calculates the densities N (r ) , velocities v (r ) , and the
temperatures T (r ) of the heavy as well as their reaction rate coefficients and source functions. The
charged particle species densities are used to determine the local space charge density and based
64
on this, and the boundary conditions set by the metals (grounded or driven) Poisson’s equation is
solved to provide the electrostatic electric field ES (r , ) and the potential P (r , ) . The spatial
field configuration is passed to the Plasma Chemistry Monte Carlo Module (PCMCM). PCMCM
tracks the trajectories of select species using a kinetic Monte Carlo method and records their energy
and angular distribution with which they hit certain surfaces (In this thesis it is exclusively used
The specific time step requirements of the respective modules can be different, so the A
time slicing approach is employed in which the submodules communicate on the basis of a global
The EETM calculates the rate coefficient from electron impact reactions k (r , ) and
heavy particle densities N (r ) provided by the FKPM. In this thesis The EETM then obtains the
electron properties by using the electron Monte Carlo Simulation (eMCS) to compute electron
energy distribution functions (EEDFs). This includes the treatment of secondary electrons that are
physical properties (mass, charge etc.) that correspond to a large number of particles. This allows
for kinetic treatment without the need to simulate every individual electron while still effectively
reproducing macroscopic quantities such as number density charge density etc. The electrons are
initialized according to a Maxwellian distribution. Spatially they are initially distributed according
65
to the background fluid ion density. In the electrostatic scenario, electrons are acted upon by the
electric fields
dve e
= E, (2.15)
dt me
where ve is the electron velocity and E is the total local electric fields (electromagnetic and static).
The electrons are first sectioned by energy into coarse non uniform bins, e.g., 0-5, 5-10, 10-50, 50-
300, and 300-1000 eV. the lower energy ranges (< 10 eV) are typically associated with bulk
electrons while the higher ones correspond to beam or secondary electrons which were accelerated
by sheath electric field. Following the coarse sorting, the collision frequency is calculated on a
12
3
i = i ijk Nj , (2.16)
me j ,k
where i is the average energy in bin i, ijk is the cross-section of the species j of process k at
energy i , and Nj is the density of the species j. To ensure a constant time step without collision
rate dependence, a null collision approach is applied in the eMCS, which yields a constant timestep
t = − ln(r ) / mj , where r is a random number distributed within (0, 1) and is the maximum collision
frequency in energy range j. A null collision frequency is defined as the difference between the
maximum collision rate and the real collision frequency. Each timestep, based on a random
number, the occurrence of a collision is checked. In the absence of a collision (a null collision) the
electron velocity and energy remain unchanged. Otherwise, the electron energy is modified according
to the inelastic or elastic nature of the collision and the electron trajectory is scattered [2]. To obtain
the EEDFs, electron energies are recorded and binned by energy (i) and space (l)
66
1
Fil = w j i i − i ( rl r ) − rl , (2.17)
j 2
• the weight of the pseudoparticle i.e. the number of actual particles it represents,
• the time step used to advance the particle trajectory and
• spatial weighting.
After each time step the obtained EEDFs f e ( , r ) are normalized according to
F = f ( , r )
i
ij i
i
e
12
i i = 1 . (2.18)
While the kinetic treatment for bulk electrons is optional, it is always performed for beam
and secondary electrons as their distributions are always assumed to be non-Maxwellian and highly
directional. They are tracked until they are removed via gas phase or surface collisions or until
their energy falls below the lowest inelastic collision threshold in which case, they are transformed
into bulk electrons by adding them to the bulk electron source term.
Kinetic treatment allows for highly precise tracking of electron properties that is entirely
self-consistent and does not require any assumptions about their distribution. The quality of the
kinetic approach is strongly dependent on the statistical quality which generally means that a large
number of pseudoparticles is required. This can lead to high computational cost which, fortunately,
is very compatible with parallel treatment which has been implemented into HPEM using the
The Fluid Kinetics Poisson Module (FKPM) is responsible for the handling of the heavy
particle densities, electron energy equation and the electrostatic potential. If the kinetic treatment
of electrons is not required, alternatively the FKPM determines electron transport properties and
67
the electron rate coefficients by solving Boltzmann’s equation for a range of values of the reduced
electric field (E/N). The electron distribution function fe ( , r , ) is obtained by solving the
Boltzmann equation using the two-term approximation given by equation (1.7). A table is
generated and its interpolated values are referenced during the execution of the code.
Consequently, the electron temperature (Te) is obtained using the electron power balance equation
3
( ne kBTe )
2 = Te + ( eTe ) = Pe , (2.20)
t
with the Boltzmann constant kB , the thermal conductivity κ the electron flux e and the total power
Pe = j e E = ee E . (2.21)
The electron continuity equation (see Eq 1.10) is solved in either a drift-diffusion formulation
given by
where µe is electron mobility, and De is the electron diffusion coefficient or in the Scharfetter-
Gummel (S-G) expression. The S-G method is widely used to describe the transport of charged
particles in the fluid model [4–6]. With a S-G expression, the flux between the mesh points i and
i+1 is
D[ni +1 − ni exp(x)]
i +1 2 = , (2.23)
1 − exp(x)
with
− i
= −e i +1 , (2.24)
x
68
where D is the averaged diffusion coefficient between vertex i and i+1, and x are the averaged
mobility and the length of this interval, and Φi is the potential on vortex i. To attain the heavy
particle densities, the coupled system consisting of the continuity, momentum, and energy
N i
= − i + Si , (2.25)
t
i ( Ni vi ) 1 q
= = − (kNiTi ) − ( Ni vi vi ) + i Ni ( Es + vi B)
t t mi mi
mj
− i − Ni N j (vi − v j )vij , (2.26)
j mi + m j
Ni i
= − Ti − pi vi − ( Ni vi i ) + qii E − (ivi )
t
where is the flux, N is the density, v is the velocity, m is the mass, T is the temperature, is
the viscosity, p is the pressure, and ε is the energy. Since the electrostatic potential is solely
determined by the charge density and the boundary conditions, it is solved for using Poisson’s
equation
(t + t ) = − (t + t ) = − ( t ) − t , (2.28)
t t +t
where is the charge density. The total charge density is comprised of the surface charge on
( t ) = m ( t ) + qi Ni (t ) , (2.29)
i
69
where the first term is the charge density from the solid material, and the second term is the charge
from the plasma. Employing a semi-implicit approach for solving Poisson’s equation, allows the
use of time steps t larger than the dielectric relaxation time, which would otherwise be required
by explicit methods [7]. If Scharfetter-Gummel fluxes are used, the term can be written as
t t +t
m (t ')
= − qe, j e (t ) + e [ s (t + t ) − s (t )]
t t +t t
t i (t )
− qi i (t ) + , (2.30)
i 2 t
where qe, j represents the charge of electrons and ions, respectively, S is the electrostatic
potential. t’ means that the charge density is evaluated at the current time step t, but the potential
e
The Jacobian term from Eq. (2.30) is addressed via a perturbation method, where a
small fractional potential change (typically = 5%) ΔΦ is applied within Δt by solving two first-order
where i and j are the coordinates of the mesh in the radial and axial direction. The discretized
equations mentioned above are solved using either Successive-Over-Relaxation or direct sparse
In addition to the plasma, the potential calculation includes all solid materials. The FKPM
discriminates between two types of materials. Dielectrics are included in the solution or the
potential and are thus defined by their charge density, conductivity and permittivity. The total
70
material charge is defined by the sum of charged particle fluxes impinging on it, the secondary
electrons emitted from the surface, and the conduction current within the material.
Metals are considered to be free of internal electric fields and perfectly equipotential
throughout their entire volume. Metal materials effectively act as Dirichlet boundary conditions
where the magnitude of the potential is externally defined. On grounded metals it is set to 0 while
the potential on powered materials is defined by the applied potential and, if directly connected to
where VRF (t ) is the rf potential at time t. As discussed in the Introduction, Vdc is a function of the
systems total asymmetry and is numerically determined by evaluating the total accumulated charge
on the capacitor
1 ( E nˆ )
Vdc =
C m (q
i
i
j
j j + q ij ) nˆ +
t
dt ,
(2.33)
where C is the magnitude of the blocking capacitance, i is the index of the materials, and j is the
index of the particles. The first term on the right-hand side is the conduction current carried by ion
and secondary electron fluxes. γij is the secondary electron emission coefficient of material i when
bombarded with particle j. n̂ is the normal vector of the electrode surface. The second term is the
displacement current on the electrode. The contribution of each metal surface is defined by whether
it is directly connected to the grounded (𝑚𝑖 = −1) or powered side (𝑚𝑖 = 1) of the circuit. The
1
j (r ,t ) +
(
d E (r ,t ) ) dtdA ,
Prf =
V (t )
dt
(2.34)
71
where V(t) is the time-dependent voltage, j is the conduction current density, τ is the rf integration
time, and A is the surface area of the electrode. The second term in Eq. (2.34) is the displacement
current on the electrode, where ε is the electrode permittivity and E is the time-varying electric
field.
Surface interactions can significantly affect the discharge composition and by extension its
dynamics as a whole either in the form of surface losses or chemical interaction. This is especially
relevant for reactor setups with high surface to volume ratios as is the case with most CCP setups.
Simple surface reactions can be addressed using the Surface Kinetics Module (SKM). Lasting
surface modification and resulting changes to reactivity can be included via the Surface Site
Balance Model (SSBM) within SKM. The SSBM tracks fractional surface site coverage and
implements it into the reaction rate equations in the form of a variable sticking coefficient.
Modification of the surface, for example by attachment and subsequential site blocking changes
The flux ( in ) incident to a surface is provided by FKPM. A surface reaction generally has
the form
where g stands for gas phase species, and s denotes the surface sites. The rate of ith reaction between
72
where αi is the reaction rate of ith reaction, ϕAm is the flux of gas phase species A on material m,
θBm is the fractional coverage of surface site B on material m which is updated according to the
The surface coverage ratio, of all the surface sites, is attained by integrating the rate
equations of the corresponding sites using a third-order Runge-Kutta technique. The fractional
surface coverage along with the gas phase fluxes from the surface, out , is returned to the FKPM,
through which the surface reactions feedback to the plasma. The reaction probability of gas phase
species on the surface is the summation of the rates of reactions with it as a reactant.
The Plasma Chemistry Monte Carlo Module (PCMCM) is used to record the energy
angular distributions of neutrals as well as ions incident on predefined relevant surfaces, most
notably the wafer surface in this thesis. In the present scenario it is executed at the end of the
simulation, after the plasma has reached a quasi-steady state and thus does not couple its
information back into the gas phase simulation, though it can also be executed throughout the
simulation when necessary. The PCMCM takes as input the particle densities and field
Pseudoparticles are launched spatially distributed according to their density and at velocities based
on their Maxwellian temperature distribution obtained from the FKPM. The particles are tracked
until they hit a surface and are, if they are charged, subject to the forces of the electric field. Similar
to the eMCS, collisions in the PCMCM are also handled using the null-collision technique.
The time step used to integrate the trajectory is based on the time to traverse a grid cell, the
mean time between collisions and the RF period. It is set to be a fraction of the smallest of the
aforementioned time scales; the fraction is usually between 0.2 and 0.5 within the plasma bulk.
73
Because the charged particles are accelerated to high energies as they cross the sheath potential
this technique ensures an accurate representation of particle velocity and energy. Although
generally, neutrals are close to thermally distributed as they make contact with the surface, it is
possible to encounter ‘hot neutrals’ which can result from a highly energetic ion neutralizing in a
charge exchange collision while maintaining most of its kinetic energy. As both ions and hot
neutrals can carry a significant amount of energy to the surface both are recorded as the Ion/Neutral
The Monte Carlo Feature Profile Model (MCFPM) is a voxel-based feature scale simulator
used to investigate the physics of feature scale etch processes. It has the option to model 2D and
3D processes, while in this thesis, only the 3D geometry is used [11,12]. In the MCFPM, the
surface material is represented by cubic voxels. Each voxel preserves its assigned material
properties. Pseudoparticles representing gas phase species are launched with energies and angles
sampled from the EADs obtained from the HPEM. The trajectories of the incoming particles are
integrated with charged particles subject to acceleration by electric fields, until a collision with a
surface occurs. Based on the species of the colliding pair, the incident energy of the gas phase
species, and the reaction probability, the outcome of the bombardment is determined. The specific
surface reaction is chosen based on a stochastic Monte Carlo approach. Depending on the reaction,
the voxel representing the surface site is removed (physical or chemical sputtering), chemically
modified (passivation), or a voxel is added on top of the site (deposition). Species reflected from
or produced at the surface, such as a sputter or chemical etch product, are returned to the gas phase,
and their trajectories are tracked until they are consumed in a subsequent reaction at a surface or
leave the feature. Although the capability exists in the MCFPM to have electron stimulated surface
74
chemistry or electron implantation, in this thesis, electrons do not modify the surface other than
Apart from the state of material identities, the MCFPM tracks a variety of other quantities
such as the position of the etch front, the spatially resolved magnitude of surface fluxes, the change
to energy and angular particle distribution as a function of feature height, the flux into and out of
the simulation domain, the electric charge and potential ( see 2.2.2 ) as well as the state of surface
cross linking ( see 2.2.3 ). The boundaries in r and y direction (horizontal as well as normal to the
viewing plane, respectively) can be reflective or periodic while the top and bottom act as perfect
sinks with the added caveat that the simulation is stopped once a particle reaches the lower
boundary.
For reactions between thermal neutrals and surface sites the reaction probability is usually
assumed to be independent or the angular dependence and carried kinetic energy because it is
negligibly small compared to relevant energy thresholds. However, for highly energetic particles,
such as ions and hot neutrals, properties such as the rate of reaction, sputter yield and details of the
scattering can strongly depend on the specific incident energy and angle [13–17]. In MCFPM this
where Ei is the particle energy, θ is the incident angle, p0 is the reference probability, Er is the
reference energy, Eth is the threshold energy, and f(θ) is the angular dependent function. When
75
bombarding on the surface, energetic particles can cause physical sputtering or chemical enhanced
In high aspect ratio features, energetic particles are likely to undergo collisions with
the sidewall, during which they lose a fraction of their kinetic energy and are scattered. The energy
E − Ec − c
Es ( ) = Ei i , (2.41)
Ets − Ec 90 − c
where Ets is the threshold energy for specular scattering, Ec is the cut off energy of diffusive
scattering, and θc is the lower angular boundary for specular scattering. Particles with Ei > Ets
undergo a purely specular scattering event, i.e., the preserve all the energy and the exit angle equals
the angle of incidence. Particles with Ei < Ec, or θ < θc are treated as partially or fully be diffusively
scattered, that is to say, they lose a fraction of their energy and gain a randomly assigned diffusive
angular component.
Electrostatic charging of features results from ions or neutrals which neutralize upon
striking surfaces and deposit their charge at the impact site. Charge is then retained on the voxel
upon which it is deposited until neutralized by an opposing charge or transported via conductive
charge transport. In the case of the removal of a charged voxel, the charge is retained in the mesh
by redistributing it to the adjacent mesh cells. At sufficiently spatially disparate charge distribution,
the resulting electric potential and electric fields affect the trajectories of charged particles via the
Based on the assumption that the time averaged flux of charged species onto the surface is
net neutral, the electron flux is adjusted accordingly to enforce that criterion globally. Local charge
76
transport is not balanced explicitly and is computed self consistently. A detailed description of the
MCFPM charging mechanism is given in Wang et al [18] and briefly summarized below. The time
d k qw
= i i − k k E , (2.35)
dt i Vk
where E = − is the electric field, is the electric potential, and the sum is over incident
particles i having weighting wi and carrying charge qi. The numerical cell has volume Vk and the
charge has electrical mobility k in that cell. The electric potential is obtained by implicitly solving
technique. Each material included in the simulation is assigned a dielectric constant and mobilities
for positive and negative charge transport, corresponding to the hole and electron mobilities.
Compared to the other aspects of the simulation, directly solving Poisson’s equation is extremely
computationally expensive. In order to reduce the computational cost, the evaluation of the charge
and potential is only performed after a large amount of charged particles have deposited their
charge. Reflective boundary conditions for electric potential are used in the lateral (x-y)
dimensions and a zero-gradient boundary condition is used on the top surface of the computational
domain. The bottom of the computational domain is grounded. All positive ions neutralize upon
their first collision with a surface and return as a hot neutral that, apart from no longer being affected
dependent on mutual links with neighboring species. This can result in changes to the reactivity
77
due to a lack of available bonds or more resistance to physical processes due to increases in total
surface bond strength. Since these bonds can be broken by exposure to highly energetic particles
or radiation, for example through exposure to plasma, this spatially discriminate activation can
result in anisotropic shapes if deposits in the neck area of the feature. The general workings of the
implanted crosslinking model are shown in Figure 2.2; the crosslink creation during the polymer
deposition is depicted in the left column (a-d) and the removal in the right (e-g).
78
Crosslinking occurs during the deposition of eligible materials (Figure 2.2a) and b). Each
material has a maximum number of crosslink partners associated with it, which is based on the
number of available bonds (3 in the example depicted in Figure 2.2). During deposition bonds to
random eligible cell neighbors can be formed, increasing the respective crosslink number, which
is tacked for every cell (Figure 2.2c). If crosslinking occurred, the respective cell identities are
changed to represent the physical differences and incur the changes to the physical properties cell
(Figure 2.2d).
Crosslinks can be broken by impinging particles such as ions, hot neutrals and photons
(Figure 2.2e) The bond breaking is represented by incrementing the affects surface cell’s bond
number (Figure 2.2f) and its reversion back to an unlinked state (Figure 2.2g).
79
2.3 References
[2] S. H. Song and M. J. Kushner, Plasma Sources Sci Technol 21, 055028 (2012).
[3] L. Dagum and R. Menon, IEEE Computational Science and Engineering 5, 46 (1998).
[6] D. L. Scharfetter and H. K. Gummel, IEEE Trans Electron Devices 16, 64 (1969).
[7] P. L. G. Ventzek, T. J. Sommerer, R. J. Hoekstra and M. J. Kushner, Appl Phys Lett 63,
605 (1993).
[10] D. Zhang and M. J. Kushner, Journal of Vacuum Science & Technology A 19, 524 (2001).
[11] A. Sankaran and M. J. Kushner, Journal of Vacuum Science & Technology A 22, 1242
(2004).
of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35, 031306
(2017).
Schaepkens, T. Standaert and J. J. Beulens, Plasma Sources Sci Technol 5, 193 (1996).
[16] Y. Yamamura and H. Tawara, At Data Nucl Data Tables 62, 149 (1996).
80
Chapter 3 : Electric Field Reversals Resulting from Voltage Waveform Tailoring in Ar/O2
Capacitively Coupled Plasmas Sustained in Asymmetric Systems
3.1 Introduction
integral process in nearly all micro- and nanometer scale electronics fabrication [1] and the etching
of high aspect ratio (HAR) features is becoming an increasingly critical process due to the
development of 3-dimensional structures such as 3D-NAND memory which requires contact holes
through more than 256 alternating layers of SiO2 and Si3N4 with a total aspect ratio of up to
100.[2,3] Typical operating conditions are tens of mTorr gas pressure with hundreds of watts to
many kW power deposition over a 30 cm diameter wafer. [4,5] These conditions produce ion
fluxes to the wafer of 1015-1016 cm-2s-1.[6] To enable these ion fluxes (or hot neutral fluxes after
ion scattering from sidewalls) to reach the bottom of HAR features with sufficient energy and
narrow angular distribution to continue the etch, applied voltages to the substrate are as high as 5
The electric field in the sheath above the wafer points towards the wafer surface during the
majority of the RF cycle, accelerating positive ions to high energies and narrow angular spreads.
This same electric field confines electrons to the bulk plasma. It is only during a small fraction of
the RF cycle at which time the sheath collapses that electron flux from the bulk plasma reaches
the wafer.
81
In a CCP of the type used for plasma etching of dielectrics in microelectronics fabrication
(pressures of tens of mTorr, frequencies of 1 – 100 MHz), the net charged flux to dielectric surfaces
in contact with the plasma must sum to zero over the RF cycle in the steady state,
T
( (t ) − (t ) ) dt = 0
0
e i
(1)
where, T is the RF period. Γe(t) and Γi(t) are the time dependent fluxes to the surface of electrons
and positive ions, acknowledging that the flux of negative ions is negligible in the absence of
pulsing. The electric field in the sheath and presheath usually point towards surfaces to accelerate
ions out of the plasma and confine electrons. It is only during a small portion of the anodic part of
the RF cycle that the sheath voltage decreases sufficiently to enable electrons to reach the substrate.
These electrons arrive at the substrate with largely thermal, isotropic velocity distributions.
Voltage waveform tailoring (VWT) is technique that is able to generate directional (anisotropic),
high energy electron fluxes onto the substrate through promoting an electric field reversal (EFR)
in the presheath above the substrate [8,9]. EFR refers to the electric field in the presheath pointing
into the plasma, as opposed to pointing towards the surface. In VWT, a non-sinusoidal voltage is
applied to the substrate, typically using several harmonics of a fundamental frequency.[8] EFR in
the presheath ultimately results from the requirement that the time average of positive and negative
fluxes to the substrate must balance. Only during the sheath collapse during the anodic part of the
cycle are electrons able to diffuse to the surface. Under certain conditions, this diffusive electron
transport may not be sufficient to satisfy the local charge balance. This lack of ability for diffusive
electrons to reach the surface in sufficient numbers may be due to short sheath collapse times,
thick sheaths, or magnetically or collisionally hindered transport. For these conditions, negative
space charge in the presheath produces an EFR, which slows the transport of ions and speeds the
transport of electrons towards the wafer. Electric field reversal has been observed computationally
82
as well as experimentally.[8–11]
With its ability to produce anisotropic energy and angular distributions (EADs) of electrons
onto the substrate which can penetrate deeply into HAR features, EFR produced by voltage
waveform tailoring has been proposed as a (partial) remedy for charging inside HAR features.[8]
In principle, if the flux of positive ions and negative electrons that penetrate deeply into features
can be balanced, the detrimental effects of intra-feature charging can be minimized. Since the
production of EFR requires manipulating the sheath potential during the RF cycle, the ion EADs
are also affected, and so independent control of the EADs of both electrons and ions is a challenge.
The dynamics of VWT, and EFR in particular, are sensitive to the geometry of the plasma
reactor and the electronegativity of the plasma. In this chapter, we discuss results from a
mixtures in a plasma reactor similar to those used in HVM. In these simulations, the power
deposition is held constant as frequency content is varied to acknowledge that in HVM, power
(and not voltage) is usually what is controlled in HAR etching. The consequences of EFR on the
EADs of ions and electrons onto the wafer surface are discussed. We found that the consequences
of VWT are not limited to electron dynamics but also affect the EADs of ions mainly through the
electrical asymmetry effect and the resulting change in the DC self-bias. The observed electric
field reversal is almost entirely due to the inertia limited lack of electron conduction current and
The computational platform used in this investigation, the Hybrid Plasma Equipment
Model (HPEM) and has been previously discussed in Chapter 2. The outcome of the investigation
for the Ar-only base case is discussed in Section 3.2 with a focus on the influence of the phase
angle φ as of the harmonic components of the waveform. In Section 3.3 the influence on EFR of
83
added oxygen, an electronegative species, to the gas mixture is discussed. Concluding remarks are
in Section 3.4.
The reaction mechanism used for pure Ar plasmas is the same as that described in Ref.
[12]. The mechanism for Ar/O2 plasmas is a subset of that described in Ref. [13] which involves
only Ar and O containing species. The mechanism for reactions between only O, O2 and its excited
and ion species is described in Ref. [14]. To decrease computational cost, excited states for O and
A schematic of the cylindrically symmetric CCP reactor used in this investigation is shown
in Figure 3.1a).
Figure 3.1: Geometry and circuit used in the model. a) Schematic of the dual frequency capacitively coupled plasma
reactor used in this investigation. b) Equivalent circuit diagram of the reactor. Source power at 80 MHz is applied to
the top electrode. The 1 MHz tailored waveform is applied to the bottom electrode.
The reactor, modeled after multi-frequency CCPs used in in industrial etch applications,
consists of two parallel plate electrodes with a diameter of 300 mm separated by a 2.8 cm gap. The
84
top electrode also serves as a showerhead gas inlet. A 0.7 mm thick silicon wafer is mounted on
the bottom electrode. A focus ring made of silicon and quartz to improve discharge uniformity
surrounds the wafer. The dielectric constants of these components are ε/ε0 = 4 for the outer quartz
ring and ε/ε0 = 11.8 for the Si ring. While the conductivity of the quartz is negligible, that of the Si
wafer is 0.05/Ω-cm. The feedstock gas is a mixture of Ar and O2 flowing at 500 sccm through the
top electrode, with oxygen fractions of 0 to 50%. The reactor pressure is held constant at 40 mTorr
at the location of the pressure sensor near the pump port. This is accomplished by throttling the
rate of pumping. Secondary electron emission due to ion bombardment of surfaces is included with
a coefficient of 0.15.
The wafer is naturally included in the self-consistent electric potential and current
calculations by specifying its permittivity and conductivity, whose values are adjusted during
execution of the model to accurately represent the actual thickness of the wafer. The conductivity
of the wafer is large enough that the voltage drop across the wafer is only a few volts, and does
A general electric circuit diagram is shown in Figure 3.1b. The VWT power supply is
connected to the bottom electrode through a blocking capacitor CB of 500 nF. In principle, in the
quasi-steady state, the DC bias should be independent of the value of the blocking capacitor
provided that the RC time constant of the plasma-capacitor series impedance is large compared to
transients in current. The capacitance used here is a balance of there being an acceptably short
charging time and long enough RC time constant so that there is little variation in the DC bias
during the RF cycle. It may appear in the reactor schematic that the high frequency electrode is
touching a grounded metal. Computationally, a zero-conductivity dielectric lies between the two
metal materials. As a result, only displacement current flows between the metals that are separated
85
by what appears to be a perfect capacitor. This is analogous to a dark-space shield. Averaged over
a radio frequency cycle, there is no net power transfer nor net current passing between the two
metals.
To maintain a relatively constant plasma density, 100 W of power is coupled into the
discharge through the top electrode using a sinusoidal voltage Vhf with a frequency of 80 MHz. To
control the dynamics of charged particles impinging on the wafer surface, a customized voltage
waveform, V(t), is applied to the bottom electrode. The waveform is described by equation (1.56)
with frequency f0 = 1 MHz and N = 4 consecutive higher harmonics. The power coupled through
Vhf and V0 are shown in Figure 3.2a as a function of the phase angle φ. The voltage
waveforms for these cases are shown in Figure 3.3d for phase shifts of φ = 0°, 45°, 90°, 135° and
180°. The high frequency power is constant at 100 W. Since the phase shift only applies to the
tailored waveform on the bottom electrode and the plasma density remains fairly constant, Vhf also
remains relatively constant for all φ, varying by less than 10%. Even with the low frequency power
being constant at 1 kW, due to the changes to the electron dynamics in the sheath region, there is
The DC self-bias as a function of the phase angle φ is shown in Figure 3.2b for the argon
base case conditions. The decrease in the magnitude of the DC self-biases (less negative) with
increasing phase angle φ can be directly attributed to the EAE. At φ = 0° with Vmax Vmin (see
Figure 3.3d) the electrical asymmetry of the applied voltage is at its maximum, and the contribution
of the EAE to the DC bias is most negative. The asymmetry is zero when φ = 90° with Vmax = Vmin
(Figure 3.3d) where the contribution of the EAE to the dc bias is also zero. The asymmetry is at
86
its minimum at φ = 180° with Vmax Vmin (see Figure 3.3d), at which point the contribution of the
The axial components of the electric field between the two electrodes, Ez(z, t), radially
averaged across the wafer, are shown in Figure 3.3a for phase shifts of φ = 0°, 45°, 90°, 135° and
180°. The electric fields are plotted as a function of time during a single 1 MHz cycle. The dotted
line in the images is the Ez(z, t) = 0 contour. The respective voltage waveforms are shown in Figure
3.3d.
Figure 3.2: Plasma properties as a function of phase angle for the pure argon plasma with a constant high frequency
power of 100 W and constant bias power of 1 kW. a) Applied voltage amplitudes for the high frequency source (V hf)
and amplitude of the low frequency bias (V0). Top and bottom electrode. b) DC self-bias.
87
Figure 3.3: Plasma properties for the pure argon plasma averaged across the wafer as a function of height above the
wafer and time during the low frequency,1 MHz cycle. Values are shown (left-to-right) for phase angles of φ = 0,
45, 90, 135, 180°. a) Axial component of the electric field, b) Ar+ density, c) electron density and d) applied voltage
waveform on the substrate. The Ar+ and electron densities are plotted on a log-scale spanning 2 decades with the
maximum value noted in each image.
88
In plasmas which do not have EFR, Ez(z, t) in the sheath at the bottom electrode will point
towards the electrode at all times, which corresponds to a negative value of E z in these images.
However, even with pure argon, there can be an EFR at the peak of the positive excursion of the
applied voltage waveform. With φ = 0° the EFR occurs during the sheath collapse at around 0.5
μs when the applied voltage is at its maximum positive value and a positive electric field
component (pointing in +z direction) is present. This EFR results, in part, from the short duration
of the positive voltage excursion of the waveform. During more than 80% of the RF cycle, the
applied voltage is negative, with there being less than 20% of the RF cycle being amenable to
electron collection. Given that the electrons are mostly thermal, diffusion alone would not provide
sufficient current to the wafer to balance the ion current during this short period. An additional
drift component is required, which for electrons requires a net electric field pointing upwards
(positively), away from the electrode. The reversal in the electric field provides additional electron
acceleration to overcome the confining potential and inertial effects to enable the charge and
current balance conditions. The generation of the EFR is a natural outcome of the calculation due
The densities of Ar+ and electrons as a function of height averaged across the wafer are
shown in the Figure 3.3b and Figure 3.3c as a function of time and for different values of φ.
Maximum ion densities increase from 2.8 1010 cm-3 for φ = 0° to 6.9 1010 cm-3 for φ = 180°.
Recall that the power deposition at both frequencies is being held constant. With a decrease in the
magnitude of Vdc (less negative) with increasing φ (see Figure 3.2), less fractional power is
dissipated by ion acceleration through the sheath. The response of the system is to increase V0 and
Vhf (the latter being a small increase) to increase plasma density to maintain a constant power. In
spite of its large mass, there is a small response of the Ar+ density profile to the sheath dynamics,
89
with ions being accelerated into the lower sheath during the local maxima of the cathodic portion
of the low frequency RF cycle. Ions are accelerated into the upper sheath during the local maxima
of the anodic cycle. These responses are afforded, in part, by the low fundamental frequency of 1
MHz. Such responses would not be expected, for example, at 13.56 MHz. In contrast, the spatial
distribution of electron density in the sheaths is strongly modulated during both the RF cycle and
with different values of φ. Electrons are excluded from the lower sheath during the local maxima
of the cathodic portion of the low frequency RF cycle and excluded from the upper sheath during
A phase angle of φ = 0° produces the “peak” waveform (Figure 3.3d, left-most sub-image)
which approximates a delta function for higher harmonic orders (N→ꝏ). As such, it creates the
shortest period of sheath collapse having the largest time derivate in electric field (dE z/dt), both of
which favor EFR. With larger phase angles, this abrupt sheath collapse becomes more gradual and
longer, providing electrons with more time to diffuse to the surface, which reduces the need for
EFR to balance current. With φ = 180°, the voltage waveform resembles the “valley” waveform
(Figure 3.3d, right-most sub-image) which results in a plasma sheath that is at its minimum voltage
and width most of the time – the opposite of the φ = 0 waveform. This change in φ from 0 to 180
produces a decrease of the EFR, with its elimination for φ = 180. A portion of this reduction (and
eventual elimination) of the EFR with increasing phase angle is due to the decrease of the
magnitude of the DC self-bias (less negative) which reduces electron repulsion from the sheath.
Although the instantaneous electron diffusion flux from the bulk plasma may appear to be
sufficient to balance current, that flux is actually limited by the ambipolar-like electric fields in the
presheath and by the finite time required for electrons to cross the sheath. The secondary electrons
emitted from the surface generate a net positive current into the wafer when the sheath expands,
90
increasing the requirements to balance current by the bulk electrons during sheath collapse.
The EADs of electrons incident onto the wafer for the pure argon plasma for different phase
angles φ are shown in Figure 3.4a. The mean electron energy and angle of incidence are shown in
Figure 3.4: Properties of electrons incident onto the wafer for the pure argon plasma. a) Electron energy and angular
distributions for different phase angles plotted on a log-scale spanning 2 decades. b) Mean electron energy and
mean electron angle of incidence as a function of phase angles.
91
The trends in the maximum strength of the EFR translate to the EADs. The electron
temperature in the bulk plasma is 3.1- 3.3 eV, which is approximately the temperature of the
electrons incident onto the wafer for φ = 180° which has the minimum EFR. This similarity,
including the broad angular distribution, indicates that the electrons are simply diffusing into the
sheath with there being little drift component. With decreasing φ, conditions which increase the
EFR, there is an increase in directional electron acceleration onto the wafer. With decreasing phase
angle φ, the EADs increase in energy while becoming more narrow in angle. As the phase angle
decreases from φ = 180° to 0°, the mean energy of electrons incident onto the wafer increases from
7.1 eV to 17.3 eV, while the mean angle of incidence decreases from 26.8° to 15.1°. These results
suggest that high fluxes of directional electrons onto the substrate can be generated by EFR.
The addition of oxygen to the argon gas mixture enables the generation of negative ions
and the transition of the electropositive Ar discharge to an electronegative plasma. The densities
of Ar+, O2+, O- and electrons, as well as the discharge electronegativity are shown in Figure 3.5 as
a function of the O2 mole fraction in the inlet flow for otherwise the base-case conditions with φ =
Through dominantly dissociative electron attachment of O2 to form O-, the electron density
decreases with increasing O2 inflow while that of O- increases. At 50% O2, the total negative charge
in the plasma bulk is nearly equally due to O- and electrons. Total positive ion density decreases
from 2 1010 cm-3, for the pure Ar discharge to 1.4 1010 cm-3 at 50% O2, a consequence of more
power being dissipated in non-ionizing collisions with the increase in O2 fraction. The total
92
Due to the change in electron density and the subsequent changes in power deposition, the
DC self-bias is a sensitive function of the Ar/O2 ratio. Vdc as a function of the voltage phase angle
φ for Ar/O2 ratios of 100/0, 90/10, 75/25 and 50/50 is shown in Figure 3.6a. The general trend of
decreasing magnitude of Vdc (less negative) with increasing phase angle φ occurs for all gas
Figure 3.5: Spatially averaged densities of Ar+, e-, O2+ and O-, and electronegativity of Ar/O2 plasmas as a function
of the O2 mole fraction with a phase angle of φ = 0°.
A second major trend is an increase of the magnitude of Vdc (more negative) with increasing
O2 mole fraction. Due to the electronegativity of O2 containing plasmas and dissipation of power
in non-ionizing collisions with O2, increasing the mole fraction of O2 requires higher applied
voltages to maintain a constant power deposition. The total voltage amplitude V0 as a function of
the phase angle 𝜑 for different Ar/O2 ratios is shown in Figure 3.6b. At φ = 0°, V0 increases by
approximately 18% from 1300 V with Ar/O2 = 100/0 to 1545 V at Ar/O2 = 50/50. For a given
electrical or geometrical asymmetry Vdc is proportional to V0, and so on this basis alone, the
magnitude of the Vdc should increase (become more negative) with increasing O2 mole fraction.
The axial component of the electric field between the two electrodes, Ez(z, t), radially
93
averaged across the wafer is shown in Figure 3.7. Each column represents a constant phase angle
with φ = 0°, 45°, 90°, 135° and 180°. Each row in turn represents cases at constant gas ratio and
varying phase angle with for Ar/O2 = 100/0 (Figure 3.7a), 90/10 (Figure 3.7b), 75/25 (Figure 3.7c)
and 50/50 (Figure 3.7d). The voltage waveforms are shown in the bottom row (Figure 3.7e). The
Figure 3.6: Plasma properties as a function of phase shift φ for different Ar/O2 ratios when keeping source and bias
powers constant. a) DC self-bias produced on the powered substrate. b) Applied voltage amplitude V0.
94
Figure 3.7: Radial average of the vertical component of the electric field as a function of time during a single 1 MHz
cycle and distance from bottom electrode different gas mixtures. Ar/O2 = a) 100/0, b) 90/10, c) 75/25, d) 50/50. e)
Normalized applied voltage V0. Results are shown for phase shifts of (left-to-right columns) φ = 0, 45, 90, 135 and
180°. The dotted line is for E = 0, the separation between negative electric fields pointing down and positive
pointing up.
95
The magnitude of the EFR increases with increasing oxygen mole fraction for all phase
angles φ. The EFR has a peak magnitude of 47 V/cm (E/N = 3650 Td, 1 Td = 10 -17 V-cm2) in the
absence of oxygen for φ =0, and a peak value of 94 V/cm (7300 Td) with Ar/O2 = 50/50 while the
EFR extends deeper into the plasma. In pure argon, the sheath thickness during the cathodic phase
is 9.5 mm and the extent of the EFR from the substrate during the anodic phase is 6 mm. For Ar/O2
= 50/50, the sheath thickness is 15 mm and the extent of the EFR is 12 mm. Due to the lower
applied sinusoidal voltage no electric field reversal occurs at the top electrode. In general, EFR
occurs when electron transport to the surface has a limited time window during the anodic portion
of the RF cycle or is impeded by other means. Since mobility µi is inversely proportional to mass
and the generation of negative O- ions produces a decrease in the electron density, the average
mobility of negative charge carriers decreases. This decrease in mobility then requires a larger
extracting electric field in the form of the EFR. With increase in O2 fraction from zero to 50%, the
electron density decreases to about 40% of that in pure argon. With the sum of the positive ion
fluxes decreasing to 80% of their values with pure argon, the EFR increases by a factor of 2 (47
As with the pure argon base cases, the magnitude of the EFR decreases with increasing
phase angle for all Ar/O2 gas mixtures. The temporal modulation of the zero electric field contour
in the bulk plasma also varies with phase angle. This modulation indicates that the effects of the
VWT are not limited to the sheath and could be responsible for small modulation that occurs in
Displacement current through the sheath is proportional to dE/dt – current which must be matched
largely by conduction current in the bulk plasma. As dE/dt in the sheath varies with phase angle,
there must be variation in conduction current in the bulk plasma which in turn requires modulation
96
in the bulk electric field. The modulation of the E=0 contour is more pronounced at higher Ar/O 2
ratios, indicating that the changes to the sheath potential structure (leading to more displacement
current) which increase with the O2 content extend beyond the immediate sheath region to
The time dependent densities of Ar+, O- and electrons are shown in Figure 3.8 for φ = 0°
and Ar/O2 = 50/50. Due to their low mobility and their being trapped in the positive plasma
potential, the O- ions (Figure 3.8b) do not follow the modulated sheath and are confined to the bulk
plasma at all times. There is some perturbation of the O- density at the peak of the cathodic cycle
at the top electrode, however the negative ions do not significantly contribute to the transport of
negative charge to the surface. In contrast to the stationary O- density profiles, the electron density
profile, shown in Figure 3.8c, responds quickly to the applied potential. The electrons are confined
to the plasma bulk during most of the RF period and only extend to the surface at the time of sheath
collapse at 0.5 µs. As a result of this discrepancy in dynamic behavior between electrons and O-,
increasing the mole fraction of O- in the system effectively reduces the transport of negative
charges to the surface, thereby necessitating a larger EFR during the portion of the RF cycle during
With an increase of the magnitude of the DC self-bias Vdc and the applied voltage V0, the
sheath potential and sheath width increase. The maximum width of the sheath increases from
approximately 9 mm for pure argon to 15 mm for Ar/O2 = 50/50. This increase in sheath width
increases collisionality (reducing electron mobility) and increases the distance electrons need to
traverse during the sheath collapse in order to reach the surface. Both effects limit electron
transport and increase the need for and magnitude of the EFR.
97
Figure 3.8: Plasma properties for Ar/O2 = 50/50 and a phase shift of φ = 0°. a) Radial average of the Ar+ density as a
function of time during a single 1 MHz RF cycle plotted on a log-scale over 2-decades. b) O- density (log-scale, 2-
decades) and c) electron density (log-scale 3-decades). The maximum density is noted in each image. d) e)
Normalized voltage amplitude V0
98
These two mechanisms (the reduction in the density of mobile charge carriers by the
increasing negative ion density and the confinement of electrons by the applied tailored voltage
waveform) at least partially add, thereby increasing the magnitude and extent of spatial penetration
of the EFR. Comparing the electric field configurations over the range of phase angles reveals a
strong dependence of the EFR on gas mixture. With Ar/O2 = 50/50, the magnitude of the EFR
180°, a total change of 83 V/cm. For the same phase angle shift for the pure argon plasma, the
The interaction between the two mechanisms producing EFR also results in enhanced
electron acceleration towards the wafer. The electron EADs incident onto the wafer are shown in
Figure 3.9 for φ = 0° (maximum EFR) and for φ = 180° (minimum EFR) for different Ar/O2
feedstock mixtures. With φ = 180°, the EFR is nominal, resulting in the electron EADs being
largely thermal with average energies < 10 eV for bulk electron temperatures of 3.2 – 3.5 eV.
There is a small increase in incident electron energy and narrowing of angular distribution for
Ar/O2 = 50/50 where the magnitude of the EFR begins to increase. With φ = 180° when most of
the negative charge is carried by heavy O- ions, electron transport is still sufficient to balance
positive ion transport due to the sheath being collapsed during most of the RF cycle. There is little
additional electron acceleration by an EFR necessary to balance the positive ion flux.
With φ = 0°, the EFR is well established with peak magnitudes reaching nearly 100 V/cm
for Ar/O2 = 50/50. The maximum electron energy incident onto the wafer extends from 30 eV for
pure argon to 100 eV for Ar/O2 = 50/50. The angular distribution narrows from ±45 degrees for
pure argon to a ±20 degree spread for Ar/O2 = 50/50. The wide range of electron energy, while the
minimum energy is nearly constant for oxygen containing mixtures, suggests that the electron
99
attracting sheath is the equivalent of the thin-sheath-limit for accelerating ions into the electrode.
That is, the electron transit time across the sheath is short compared to the RF period, and so
electrons are accelerated to the instantaneous sheath potential. The lower energy component of the
EAD is likely sustained by continuing thermalization of electrons through collisions inside the
sheath.
Figure 3.9: Electron energy and angular distributions incident onto the wafer surface for different Ar/O 2 ratios
plotted on a log-scale over 2 decades with phase shifts of a) φ = 0° and b) φ = 180°. The EAD increases in energy
and narrows in angle with increasing O2 fraction, an effect that is most prominent for a) φ = 0°.
100
Figure 3.10: Properties of the electron velocity distribution incident onto the wafer for different Ar/O2 ratios as a
function of phase shifts φ. a) Mean electron energy and b) mean angle of incidence. Decreasing phase angle
increases the incident electron energy and narrows the angular distribution, with the effect being most prominent for
large O2 mole fractions.
The mean electron energies at the wafer surface as a function of the phase angle, ( ) , for
different Ar/O2 mixtures are shown in Figure 3.10a. As with the EADs, the trends correlate with
the magnitude and depth into the plasma of the EFR. Mean energies for φ = 180° have a nominal
dependence on gas mixture, extending from 6.2 eV for pure argon to 9.7 eV for Ar/O2 = 50/50.
Although this is a change of a factor of 1.5, the absolute change is only 3.5 eV. Mean energies for
101
φ = 0° extend from 16.7 eV for pure argon to 57.7 eV for Ar/O 2 = 50/50, an increase by a factor
of 3.4 and absolute change of 41 eV. The same mechanisms producing a decrease in mean energy
produces a decrease in the mean angle with which electrons strike the waver, as shown in Figure
3.10b as a function of the phase angle and gas mixture. For a given Ar/O2 mixture, the mean angle
increases with increasing φ. As with the mean energy, these changes are most pronounced at the
highest oxygen content. For Ar/O2 = 50/50 the mean angle increases from 6.4° at φ = 0° to 20.3°
The use of voltage waveform tailoring (VWT) to generate and control electric field
reversals (EFRs) during the sheath collapse in low pressure capacitively coupled RF plasmas
sustained in Ar/O2 mixtures was computationally investigated. The purpose of this investigation
is to assess the potential of this technique to accelerate electrons into sub-micron HAR etch
features to compensate positive surface charging inside these structures. The effects of the Ar/O2
feed gas ratio as well as voltage waveforms on the electron energy-angular distributions (EAD)
incident onto the wafer surface were investigated while keeping the power deposition constant, as
would be the practice in industry. To maintain the plasma density and reduce its dependence on
the voltage waveform, a high frequency RF voltage was applied to the top electrode. The substrate
bias waveform consisted of a sinusoidal signal and its first 4 harmonics with the even harmonics
having a variable phase shift φ. The strength of the EFR increases with the O2 mole fraction and
with a decrease in φ. The correlation with the O2 mole fraction is a consequence of the
electronegative nature of the oxygen. The increase in O2 mode fraction reduces the electron density
while increasing negative ion density, which results in a decrease in the average negative charge
102
The consequences of the phase angle on EFR can be attributed to two major effects: i) the
bias (most negative) and thick sheath and ii) the time that the sheath collapses is minimized at φ =
0° and maximized at φ = 180°. Both of these effects enhance the EFR. Since the ion flux to the
substrate must be balanced by electrons on a time average while electron transport to the substrate
the bias waveform enables significant control of the electron energy and angle distributions onto
the wafer surface. The relative and absolute changes in mean electron energy were strong functions
of the Ar/O2 feed gas ratio and most pronounced in the Ar/O2 =50/50 mixture, while being
significant in all configurations that were investigated. The fact that electronegative gases increase
the magnitude of the EFR, and its ability to accelerate electrons into the wafer, suggests that
process gas compositions used in semiconductor plasma etching processes, which often contain
strongly electronegative species, can potentially profit from this mechanism as well.
The details of plasma etching processes critically depend on the ion energy and angular
distributions onto the wafer. Although VWT is able to control the electron EADs onto the wafer,
this cannot be done independently of also changing the ion EADs. Changes in the VWT
configuration change the DC bias which translates into changing the average ion energy onto the
wafer. The shape of the ion EAD will depend on the number of harmonics and the phase angle.
Nevertheless, some of the scaling of the EAD described in this work could allow for favorable
synergies with current trends in semiconductor manufacturing. Higher applied voltages, as used in
plasma etching of HAR features, combined with a voltage waveform resembling the peak-
waveform, will produce high energy, narrow angle fluxes of electrons into the wafer surfaces.
103
These fluxes have the properties required to address intra-feature charging.
To evaluate the efficacy of VWT in the context of actual plasma etching processes, it is
important to investigate relevant process conditions using halogen containing gas mixtures
combined with feature scale simulations that include the effects of the electron and ion EADs on
charge accumulation, feature deformation and depth limited etch rates. There are still open
questions with respect to the feasibility of plasmas sustained by complex waveforms at very high
powers and the technical difficulties related to power matching, filtering, phase locking and
Due to its potential versatility, future implementations of VWT may be not limited to
conventional CCPs but could find application in different contexts such as in inductively coupled
plasmas. These applications could be for similar surface charge remediation on biased electrodes
or the prevention of material erosion near the antennas caused by high energy ions in E-mode
investigated as the physical mechanisms discussed here are, in principle, not dependent on any
specific waveform. These investigations may include the use of non-consecutive harmonics,
104
3.5 References
[1] V. M. Donnelly and A. Kornblit, Journal of Vacuum Science & Technology A: Vacuum,
[4] B. Wu, A. Kumar and S. Pamarthy, Journal of Applied Physics 108, 51101 (2010).
[5] S. Rauf and A. Balakrishna, Journal of Vacuum Science & Technology A: Vacuum,
Zhou, K. Delfin, N. Lundy, S. C. Pandey, S. Guo and G. S. Sandhu, Plasma Sources Sci
Mussenbrock, Z. Juhasz, Z. Donkó, A. Derzsi, E. Lee and J. Schulze, J Phys D Appl Phys
[9] U. Czarnetzki, D. Luggenhölscher and H. F. Döbele, Plasma Sources Sci Technol 8, 230
(1999).
[10] Y. X. Liu, Q. Z. Zhang, J. Liu, Y. H. Song, A. Bogaerts and Y. N. Wang, Appl Phys Lett
105
[11] S. Sharma and M. M. Turner, J Phys D Appl Phys 47, 285201 (2014).
[12] P. Tian and M. J. Kushner, Plasma Sources Sci Technol 24, 34017 (2015).
[13] S. Huang, C. Huard, S. Shim, S. K. Nam, I.-C. Song, S. Lu and M. J. Kushner, Journal of
106
Chapter 4 : Voltage Waveform Tailoring for High Aspect Ratio Plasma Etching of SiO2
using Ar/CF4/O2 Mixtures: Consequences of Ion and Electron Distributions on Etch
Profiles
4.1 Introduction
CCP sustained in an Ar/CF4/O2 mixture using a geometry similar to those found in HVM. High
frequency power applied to the top electrode is used to sustain the plasma while VWT is used to
define the low-frequency bias voltage applied to the bottom electrode. The consequences of the
electrical asymmetry effect and the electric field reversal on the IEADs and EEADs onto the wafer
surface are discussed. The resulting charged and neutral particle fluxes and distributions are then
used in self-consistent simulations of etching a HAR feature into SiO2 to investigate the ability of
We found that in spite of the complicating factors of geometry, gas mixture and multiple
frequencies, VWT allowed for effective control of IEADs and EEADs onto the wafer, though this
control could not be produced independently. Optimizing the waveform to produce a desired
EEAD inevitably affects the IEAD. Peak and mean energies of positive ions and of electrons onto
the wafer are strong functions of the shape of the applied voltage waveform. With the narrow angle
and energetic EEADs produced by VWT, our investigation did show a decrease in intra-feature
charging, as measured by a decrease in the positive electrical potential. However, the overall
characteristics of the final etch feature were dominated by the ion dynamics.
Synthetic changes to only the EEADs resulted in minor changes of the final etched feature.
107
For example, there were not significant differences in feature quality between an isotropic, thermal
EEAD onto the wafer corresponding to an electron temperature of a 3-4 eV, and the narrow,
energetic EEAD produced by VWT. We attribute this result to the fact that thermal electrons are
accelerated into the feature by the electric fields produced by the positive, in-feature electric
potential. Ultimately, in a quasi-steady state, the flux of positive and negative charge into the
feature must be equal. This is achieved by producing a positive potential in the feature, which then
accelerates thermal electrons into the feature. The narrow energetic EEADs produced by VWT are
also accelerated into the feature. However, their initially higher wafer directed velocities require a
smaller positive potential in the feature to achieve the needed flux balance. The end result is a
decrease in the intra-feature potential relative to that produced with thermal electrons that is
The computational platform used for the reactor scale plasma transport, the Hybrid Plasma
Equipment Model (HPEM) and the feature scale model, the Monte Carlo Feature Profile Model
are described in Chapter 2. The reactor scale setup is described in Section 4.2 and the feature scale
setup is described in Section 4.3. The influence of phase angle φ of the voltage waveform on
charged particle distributions onto the wafer and etch profiles with low bias power is discussed in
Section 4.4 and with high bias power is discussed in Section 4.5. Concluding remarks are in
Section 0.
A schematic of the cylindrically symmetric CCP reactor used in this investigation is shown
in Figure 4.1. The reactor, modeled after multi-frequency CCPs used in industrial HVM
applications, consists of two parallel plate electrodes with a diameter of 300 mm separated by a
2.8 cm gap. A silicon wafer is mounted on the bottom electrode and a showerhead gas inlet is
108
distributed across the top electrode. A focus ring made of silicon and quartz to improve discharge
uniformity surrounds the wafer. The dielectric constants of these components are ε/ε0 = 4 for the
outer quartz ring and ε/ε0 = 11.8 for the Si ring. While the conductivity of the quartz is negligible,
that of the Si wafer is 0.05/Ω-cm. The feedstock gas Ar/CF4/O2 = 75,/15/10 flows at 500 sccm
through the top electrode. The reactor pressure is held constant at 40 mTorr at the location of the
pressure sensor near the pump port by throttling the rate of pumping.
Figure 4.1: Schematics of the reactor. a) The two frequency CCP has the VWT power applied to the lower electrode
and a sinusoidal, 80 MHz waveform applied to the top. b) Electrical schematic of the system. From F. Kruger et al,
Plasma Sources Sci. Technol. 30, 085002 (2021). Reproduced by permission of IOP Publishing Ltd.
The VWT power supply is connected to the bottom electrode through a blocking capacitor
of 500 nF. In principle, in the quasi-steady state, the DC bias should be independent of the value
of the blocking capacitor provided that the RC time constant of the plasma-capacitor series
impedance is large compared to transients in current. The capacitance used here is a balance of
there being an acceptably short charging time and long enough RC time constant so that there is
109
little variation in the DC bias during the RF cycle.
Sheath properties are in part a function of plasma density at the edge of the sheath which
then determines sheath thickness. To maintain a relatively constant plasma density and to minimize
sheath variation based on changes in plasma density, 500 W is coupled into the plasma through
the top electrode using a sinusoidal voltage waveform with a frequency of 80 MHz. This power
To control the dynamics of charged particles impinging on the wafer surface, a customized
voltage waveform, V(t), was applied to the bottom electrode. The waveform is described by
Here, V0 is the total applied voltage amplitude, k is the harmonic order, N is the total number
of frequencies, and the relative phase shift of each harmonic frequency k is φk. In this work the
number of harmonics is N = 5. The consequences of VWT were investigated by varying the phase
shift of the even harmonics φ for k = 2, 4. The power coupled through the bottom electrode, P0,
In this study, we investigated the plasma etching of SiO2 in a Ar/O2/CF4 gas mixture using
the MCFPM which is described in Chapter 2. A detailed description of the reaction mechanism is
given by Huang et al. [1]. Generally, all incoming ions or hot neutrals resulting from neutralization
of ions when striking surfaces can physically sputter every material according to the incident
particles mass, angle and energy as well as the surface binding energy of the material. Radical
CxFy species can lead to the deposition of polymer, the main contributor to sidewall passivation,
which in turn is removed by sputtering and etching by oxygen radicals. CxFy radicals can react
with the SiO2 surface to create a layer of SiO2CxFy complexes, significantly lowering the binding
110
energy and making the complex easier to chemically sputter.
The electric potential in the feature was computed by collecting charge on surfaces and
solving Poisson's equation. The relative permittivity for SiO2 used in solution of Poisson's equation
was r = 4.0, and for photoresist, polymer and SiO2CxFy complexes was r = 3.0. The electric
potential then provides electric fields used to advance the trajectories of charged particles. All
positive ions neutralized when striking a surface, and if reflected, continued as a neutral. Electrons
were collected on surfaces with unity probability. For this study, the SiO2 and photoresist had
(110-6/-cm) had finite but small conductivity. These conditions generally resulted in charge
being resident only on surfaces or buried under polymer or redeposited etch products if deposition
The geometry used for the feature evolution is shown in Figure 4.2. The feature is a trench
consisting of a 3000 nm thick SiO2 layer over a Si stop-layer, covered by 100 nm thick photoresist
with a 100 nm opening. This geometry produces an aspect ratio of about 30 for an etched trench
down to the stop layer. The simulation was performed in 3-dimensions as a finite depth trench.
The mesh consists of 60 cells wide by 750 cells tall by 10 cells deep, producing cubic voxels with
5 nm edge length. Reflective boundary conditions are used along the y-axis normal to the geometry
shown in Figure 4.2. Although we are simulating a nominally 2-dimensional feature (a trench),
(and infinite) in the unresolved depth. In 3-dimensions, sidewall roughness is finite. The etching
111
Figure 4.2: Initial geometry used in for feature profile simulations. A 700 nm mask defines a 100 nm opening to
3000 nm thick SiO2. The simulations are for trench etching, performed in 3-dimensions having a finite depth.
The Monte-Carlo method which is used in the MCFPM inherently produces statistical
variation in the details of the features that are produced. This run-to-tun variation can occur
naturally in parallel computations by differences in the timings of the processors. The statistical
variation features can be demonstrated by changing the seeds for the random number generators
on separate runs. The features shown here are typical, average features in which outliers due to
statistical variation have been excluded. The statistical run-to-run differences in features are
discussed in Appendix A.
112
4.4 Plasma and Etch Properties for 1000 W of VWT Power
in the plasma as opposed to voltage amplitude. To make more direct connection to these
applications, the power applied through the VWT on the bottom electrode was first held constant
at PVWT = 1000 W by adjusting the voltage amplitude V0 while varying the phase φ from 0 – 180o.
Although this power appears large, by industrial processing standards for HAR etching of 30 cm
diameter wafers, 1000 W is a moderately small power [1–3]. The applied voltage amplitudes
necessary to maintain constant power coupling through the top electrode (500 W), bottom
electrode (PVWT = 1000 W) and the resulting DC self-bias on the bottom electrode are shown in
Figure 4.3 as a function of phase angle. At constant power, the amplitude of the high frequency
voltage applied to the top electrode is a weak function of the phase angle and is nearly constant at
Vhf = 120 V. The DC self-bias, however, is strongly coupled to φ with its magnitude decreasing
(becoming more positive) by 88% from Vdc= -2480 at φ=0° to Vdc= -290 at φ=0°.
113
Figure 4.3: Voltage amplitudes for the VWT bias (Vbias) and top power (Vtop), and DC self-bias as a function of
phase angle. a) PVWT = 1000 W and b) PVWT = 2000 W.
Earlier works have described the trend of how DC bias depends of the shape of the applied
waveform through the generation of the electrical asymmetry effect (EAE) [4,5]. (See Sections
1.17 and 1.18 for details). The formulation for the EAE does not specifically account for a second
powered electrode having a unique frequency, such as used here. However, due to the higher
frequency and lower power of this second RF bias, the voltage amplitude applied to the top
electrode is smaller than that applied to the lower electrode. Sinusoidal voltages alone do not
induce electrical asymmetry effects and so we do not expect large perturbations to the EAE process
by the high frequency source. With the phase shift applying only to the tailored waveform on the
114
bottom electrode, Vhf remains relatively constant for all φ, varying by less than 10%. Due to the
changes to the electron dynamics in the sheath region some variation does occur in V0, decreasing
The DC self-bias on the lower electrode, Vdc, is an important parameter in determining the
energy of incident positive ions. For high frequency excitation where the energy width of the IEAD
is small compared to the RF voltage amplitude, the centroid in energy of the IEAD typically
increases with the magnitude of Vdc. With low fundamental frequencies (thin sheath limit), ions
transiting the sheath respond to the sheath dynamics during the RF period. (This is nearly always
true for electrons.) In this low frequency limit, the correlation between IEAD and Vdc is not straight
forward. The radially averaged axial electric field as a function of time and distance from the
substrate, Ez(z, t), is shown in Figure 4.4 for phase shifts of φ = 0° to 180°. In CCPs without an
electric field reversal, Ez(z, t) near the bottom electrode sheath will point towards the electrode
(negative z direction) at all times. However, with φ = 0°, an EFR occurs during the sheath collapse
at around 0.5 μs when the applied voltage is at its maximum positive value and there is a positive
electric field component (pointing in +z direction). This EFR results, in part, from the short
duration of the positive voltage excursion of the voltage waveform and the correspondingly short
time during which the sheath collapses. In order to produce the required electron flux to the surface
to maintain a charge-neutral flux to the substrate, an EFR occurs to accelerate electrons towards
the surface. This field reversal is most pronounced in the φ = 0° case (69 V/cm) as this phase has
the shortest sheath collapse and so requires the largest instantaneous electron flux to the surface.
As the duration of the sheath collapse increases with increasing φ and becomes less abrupt, the
magnitude of the instantaneous electron flux that is required to balance charge decreases, and so
115
Figure 4.4: Vertical electric field component as a function of time and distance from wafer for PVWT = 1000 W for
phase angles of a) 0°, b) 45°, c) 90°, d) 135° and e) 180°. The shape of the voltage waveform applied to the bottom
electrode is shown in each image.
The modulation of the sheath as a function of the phase of the harmonics translates into
significant variation of IEADs incident onto the wafer, as shown in Figure 4.5a. These IEADs are
collected by summing over all ions striking the wafer for φ = 0° to 180°. The IEADs generally
decrease in maximum energy with increasing φ, following the trend in Vdc. The mean energy of
the ions also decreases with increasing φ, as shown in Figure 4.5c. Aside from the general decrease
in energy, the shape of the IEADs reflect the temporal dynamics of the sheath. The IEAD for φ =
116
0° contains a dominant peak at 3000 eV, a result of the sheath maintaining a nearly constant
potential and thickness for the majority of the RF cycle. (See Figure 4.4.) The IEADs for φ = 45°,
90° and 135° contain more complex multi-modal structures with broader spreads in energy. The
structure in the IEADs reflects the length of time the sheath is maintained at a given potential and
thickness. The IEAD for φ = 180 ° has a single dominant peak at approximately 400 eV, as the
sheath has one of two quasi-binary states – fully collapsed or fully expanded.
The EEADs incident onto the wafer surface are shown in Figure 4.5b for φ = 0° to 180°.
The electric field reversal for small values of φ produces a significant acceleration of electrons
normal to the surface, generating an EEAD with a higher energy and narrower angular distribution
that might occur with low frequency, sinusoidal waveforms. (Recall that for a purely thermal
distribution of electrons onto the surface, the mean energy will be about the electron temperature,
3-4 eV, and the angular spread would span -90 to +90.) The EEAD for φ = 0°, where the EFR
has is largest magnitude, extends to 125 eV, considerably higher than the thermal bulk temperature
of about 3.2 eV. (Although the electron energy distribution is non-Maxwellian in the bulk plasma,
for convenience we will refer to the electron flux incident onto the electrode in the absence of
significant EFR as being the thermal electron flux or resulting from thermal electrons.) The
downward trend of the magnitude of the electric field reversal with increasing φ in turn leads to a
decrease in the electron acceleration normal to the surface, and so to an overall reduction in
electron energy incident onto the surface. With φ = 180°, the EEADs incident onto the surface
closely resemble a thermal distribution, though with a somewhat narrower angular distribution.
The high energy, low angle electrons are likely the result of electrons accelerated in the sheath at
the opposing electrode. Due to the two-decade log scale of the image, these structures are visually
overemphasized.
117
Figure 4.5: Properties of ions and electrons incident onto the wafer for PVWT = 1000 W. a) IEAD and b) EEAD for
phase angles of φ = 0°, 45°, 90°, 135° and 180°. The distributions are separately normalized and plotted on a log-
scale over 2 decades. c) Mean ion energy as a function of phase angle φ
118
In addition to the charged particle EADs onto the surface, the silicon-dioxide etching
mechanism depends on the magnitude of the individual fluxes of charged and neutral species onto
the wafer. In particular, the ratio of polymer depositing and polymer removing species is important
in dielectric etching in fluorocarbon containing plasmas. The time averaged fluxes of neutral and
ion species onto the wafer as a function φ are shown in Figure 4.6.
Figure 4.6: Fluxes of reactive species onto the wafer as a function of phase angle φ. a) Neutrals and b) ions.
With changes in φ there are systematic changes in EADs of charged particles onto the
surface. However, the neutral fluxes are fairly stable with moderate variation with changes in phase
φ for most species. With the high frequency power being held constant, the rates of electron impact
dissociation and ionization are nearly constant, producing nearly constant fluxes. The small
119
variation in neutral fluxes with φ can be attributed to the contribution to dissociation by secondary
electrons emitted from the wafer and accelerated by the sheath into the bulk plasma. With the
electron energy distribution of the secondary electrons being functions of sheath dynamics, rates
Similar trends apply for the fluxes of ions to the wafer as a function of φ, which also show
little variation with phase both in terms of overall magnitude as well as the relative ratios of ion
fluxes. The one notable exception is the flux of O+ which increases for intermediate values of φ.
The atomic weight of O+ is the lightest of ions having a significant flux to the surface and so will
respond more quickly to changes in the sheath (and presheath) compared to other ions. There is
also likely a component of ionization of atomic oxygen by high energy secondary electrons. Given
that the fluxes of Ar+ and CF3+ are 2 orders of magnitude higher than that of O+, we do not expect
this sensitivity of the flux of O+ on phase angle to have a large effect on etch properties.
The feature profiles for trenches in SiO2 after 15 min of plasma etching are shown in Figure
4.7a for phase angles of φ = 0° to 180°. Varying φ for constant power produces significant
differences in etch depth, ranging from 1750 nm for φ = 0° to an immediate etch stop due to
excessive polymer buildup at φ = 180°. Due to the similar absolute fluxes of reactants for all phase
angles, this trend in etch depth is a consequence of the differences in charged particle energy
distributions onto the wafer resulting from the change in applied voltage waveforms. The higher
energy of the incident ions at low phase angles produces higher rates of removal of passivating
polymer films and higher rates of sputtering of SiO2, directly or by chemically assisted processes.
The etch stop at φ = 180° is a consequence of the lower ion energies that are unable to balance the
possible for lower rates of polymer deposition (lower incident fluxes of CF x radicals).
120
Figure 4.7: Feature properties for PVWT = 1000 W following 15 minutes of etching a) Feature profiles for different
phase angles (φ = 0°, 45°, 90°, 135° and 180°). b) Comparison of etch result and electric potential for (left) self-
consistent VWT produced EEAD
121
The higher incident energies of the positive ions also enable them to partially overcome
positive electrical potential that builds up inside features. This positive potential slows ions,
thereby reducing their sputter yield with possible deflection of their trajectories inside the features,
which leads to twisting. One of the original motivations for VWT was to promote in-feature charge
neutralization on surfaces by narrowing the angular distribution of electrons to better match that
of the ions. These narrow and energetic EEADs presumably would penetrate deeper into the
feature than is possible by the broad, low energy thermal EEADs produced by conventional CCPs.
To test this hypothesis, one would need to perform reactor scale simulations where the ion
and neutral fluxes, and IEADs remain the same, while the EEADs varied from low energy, broad
angle to high energy, narrow angle. From our attempts to find such conditions, we conclude that
the likelihood is small of being able to hold the IEAD constant while varying the EEAD. The very
conditions that modify the EEADs also modify the IEADs. A large set of computational
experiments were performed to find combinations of voltage, power and waveforms that would
maintain the same IEAD while toggling between a nearly thermal EEAD, and an EFR enhanced
Instead, to test the hypothesis that EEADs produced by electric field reversals can decrease
the magnitude of positive charge in the feature the following process was used. Etch profiles were
compared when using EEADs produced from using VWT and a synthetic thermal EEAD having
a 3 eV electron temperature. The fluxes of radicals and ions, and IEADs, were the same for both
cases. These reference simulations were performed for φ = 0°. For these conditions, the differences
in EEADs between those generated by VWT and synthetic thermal distributions are the greatest.
The VWT case, φ = 0° also resulted in the overall most desirable feature profile.
122
The etch profiles and corresponding final electric potential are shown in Figure 4.7b for
the (left) self consistently generated VWT produced EEAD and (right) for the synthetic thermal
EEAD. Both cases have both negative and positive charge distributed throughout the feature,
though with net negative charge at the top of the features and net positive charge deeper in the
feature. The end result is positive potential inside the feature. The maximum potential with the
VWT produced EEAD is 227 V and the maximum potential produced with thermal electrons is
346 V. The larger positive potential with thermal electrons reduces the final etch depth by 30% by
slowing the positive ions incident into the feature. This result confirms the initial hypothesis that
directional electrons produced by the EFR can be utilized to at least partially neutralize intra-
feature surface charging and lessen the decrease in etch rate resulting from that charging.
The maximum ion energy incident into the feature exceeds 3000 eV. If there was no
electron neutralization of positive charge in the feature, the positive potential would increase to
nearly this maximum value to prevent positive charge from being continually collected. Even when
using the thermal electron EEAD, the potential inside the feature is significantly smaller than the
maximum ion energy. The thermal electrons initially have isotropic trajectories which dominantly
strike the top of the feature. However, the buildup of positive potential in the feature produces
electric fields directed upwards that then accelerate these thermal electrons into the feature. The
thermal electrons accelerated into the feature then produce significant neutralization of positive
In the steady state, the positive potential inside the feature increases to a large enough
magnitude so that the thermal electrons accelerated into the feature are able to neutralize
incremental additions to positive charge. This transient is directly analogous to the charging of a
dielectric surface in contact with a plasma to a negative potential that balances the flux of electrons
123
and ions. The use of the VWT produced EEAD, with its initially higher energy and velocities
towards the wafer, and narrower angular distribution, enables this balance of electron and ion
fluxes to be achieved with a lower positive potential. The difference in electric maximum potential
in the features between the thermal EEAD and the VWT produced EEAD, 120 V, corresponds to
approximately the difference in the maximum energy of the VWT produced and thermal EEADs.
Although this is not a general result, the difference in potential would not be expected to exceed
this value.
When using the VWT generated and thermal EEADs, the location of maximum potential
is not at the bottom of the feature but at depths of 1.2 m for the VWT EEAD and 0.77 m for the
thermal EEAD. The charging of the mask is nominal compared to the energies of ions and EFR
accelerated electrons. The mask charging may be more important for low aspect ratio (AR) features
where the voltages and powers are lower. However, for HAR features, this is typically not an issue.
The maximum of the positive potential is a function of AR. As the feature is etched deeper and the
AR increases, the maximum in positive potential transitions from the bottom of the feature at low
AR to the side of the feature as the AR increases [1]. For small AR, ions even with a finite angular
spread can directly strike the bottom of the feature, and so the maximum positive potential is on
the bottom of the feature. For HAR features, ions having a finite angular spread will strike the
sidewalls, and positive charging will occur dominantly on the sidewalls. The neutralized particles
then proceed as hot neutrals deeper into the feature. The shadowing by the fairly thick photoresist
produces a view angle of 3.8 (VWT) to 4.3 (thermal) which is in line with the ion angular
spreads.
The charging of the feature occurs over many RF cycles. There are no specific in-feature,
intra-cycle dynamics of the electric field as the charging and electric fields are the results of
124
averaging charged particle fluxes over these many RF cycles. For bias frequencies of many MHz
and above, distinct cyclic in-feature electric field dynamics will likely only occur when using
pulsed power.
Electron trajectories inside the feature depend on their initial distribution in energy and
angle as well as the acceleration resulting from electric fields in the feature produced by charge
deposition. In the case with the highest electron energy incident onto the wafer (φ = 0°) the mean
energy of the EEAD is 62 eV. Given the maximum electric potential in the feature is 280 V, the
electron trajectories are still likely dominated by the electric fields produced by charging. To verify
this likelihood, electron energies incident onto the surface inside the feature were spatially
sampled. To enable a side-by-side comparison of these energies, the following process was
followed. The feature shape and potential configuration resulting from the φ = 0° case after 15
minutes of etching was used and kept constant. (That is, no further evolution of the feature or
potential was allowed.) Initial electron trajectories were sampled from the EEAD produced by
VWT or from a thermal distribution having an electron temperature of 3 eV. The electron
trajectories were followed into the feature, and the electron energies striking the surface were
recorded and averaged at each spatial location. Tests were performed for VWT produced EEAD
and thermal distributions when including acceleration from the charge produced electrics fields,
125
Figure 4.8: Spatial distribution of average electron energies incident on the surface of the feature. The feature
properties are for PVWT = 1000 W and φ = 0° after 15 minutes of etching. Results are shown for initial EEADs
produced with VWT and for thermal electron having a temperature. of 3 eV. a) When including acceleration in
electric fields produced by feature charging. b) Without acceleration due to feature charging. The view is looking
obliquely into the 3-dimensional feature having reflective boundary conditions front-and-back.
The resulting spatially resolved average electron energies incident on the feature surface
are shown in Figure 4.8. The average energies shown in Figure 4.8a are for initial EEADs (VWT
and thermal) when including acceleration in the electric fields produced by charging. The average
energies striking the surface for VWT and thermal distributions are spatially similar and
126
qualitatively resemble the electric potential shown in Figure 4.7b. These distributions largely result
from acceleration of the incident electrons by the in-feature electric fields. The average energy of
the electrons striking the surface for the initially thermal electrons is maximum at about 240 eV,
which corresponds to the maximum positive potential inside the feature. The average energy for
the electrons launched from the VWT produced EEAD is maximum at about 275 eV. This value
is larger than for the thermal electrons by 35-40 eV due to the electrons selected from the VWT
To reinforce this finding, average electron energies striking the surface of the feature were
computed for the VWT produced EEAD and for thermal electrons when excluding acceleration
by electric fields, as shown in Figure 4.8b. The average energies for the VWT produced EEAD
range up to 35 eV and those for the thermal EEAD range up to 6 eV, both values largely reflecting
These findings suggest that for reasonably large positive potentials produced inside
features, the high energy VWT produced EEADs will not dominate the resulting feature properties
compared to EEADs produced using conventional waveforms. In both cases, in the steady state
electrons are accelerated into the feature in such a manner as to neutralize the incremental charge
produced by fluxes of positive ions. This is particularly true for positive potentials that are much
While maintaining 500 W of power on the top electrode, the power delivered by the bottom
electrode was increased to PVWT = 2000 W to be more aligned with industrial processes. The
resulting voltage amplitudes for the top and bottom electrodes, and the DC self-bias are shown in
Figure 4.3b as a function of phase angle. The top electrode voltage amplitude remains nearly
127
unchanged compared to the PVWT = 1000 W cases with there being little variation with phase angle
φ. In order to accommodate the increase in power, the bottom electrode voltage amplitude
increased by a factor of 1.5-2 compared to the PVWT = 1000 W cases. The low- and high-power
cases have nearly identical trends with phase angle, which suggests that these trends are the result
of the sheath dynamics rather than being of stochastic origin. Similar to the 1000 W cases, Vdc
decreases with increasing phase angle as a consequence of the EAE. The large magnitude of Vdc
The radially averaged, axial electric fields as a function of time during the RF cycle for
PVWT = 2000 W are shown in Figure 4.9 for different phase angles φ. Overall, the sheath dynamics
and electric field reversals as a function of phase angle are similar to the PVWT = 1000 W cases
(Figure 4.4) with the EFR decreasing with phase. One exception is the φ = 0° case whose EFR is
reduced compared to φ = 45° at same power and also reduced compared to the φ = 0° case at 1000
W. This apparent outlier is the result of the geometrical constraints of the plasma reactor and of
the finite electrode gap (distance between bottom and top electrodes). For example, the spatially
resolved electron densities averaged over the RF period are shown in Figure 4.10 for φ = 0°, 90°
and 180°. While the peak electron densities vary by only about 10% the spatial distributions vary
128
Figure 4.9: Vertical electric field component as a function of time and distance from wafer for PVWT = 2000 W for
phase angles of a) 0°, b) 45°, c) 90°, d) 135° and e) 180°. The shape of the voltage waveform applied to the bottom
electrode is shown in each image.
With low values of φ, the time averaged sheath thickness is larger, which reflects the larger
(more negative) DC bias and voltage amplitude. The sheath thickness then occupies a significant
fraction of the gap. The compressed bulk plasma is then more sensitive to, for example,
discontinuities between the top electrode and the bounding dielectric insulator where electric field
enhancements occurs. The end result is a less spatially uniform plasma. We acknowledge that, if
129
unaddressed, this expansion of the sheath could potentially affect the spatial uniformity of the
wafer processing, and that this effect may be amplified by this particular reactor configuration.
Several reactor configurations were investigated (e.g., adjusting the shape and diameter of the
focus rings, and top electrode) to confirm that the VWT scaling discussed here, and sheath
expansion and plasma compression are general trends. There are many actions, such as adjusting
the process parameters (gas composition, gas pressure, flow rates, power) and/or reactor geometry
that could be used to recoup the trends with phase angle that were obtained at the lower power.
This optimization is beyond the scope of this work. That said, this demonstration emphasizes the
concept that design of plasma processing reactors must be performed from a systems perspective.
Optimizing one aspect of the design, such as EFR, may ultimately be limited by other aspects of
Figure 4.10: Electron density for PVWT = 2000 W for phase angle φ of a) 0°, b) 90° and c) 180°). The densities are
plotted on a log-scale over 2-decades.
130
The similarities to the trends of the lower power cases are also reflected in the IEADs to
Figure 4.11: Energy and angular distributions for charged particles incident onto the wafer for P VWT = 2000 W for
phase angles of φ = 0°, 45°, 90°, 135° and 180°. a) Ions and b) electrons. The distributions are separately normalized
and plotted on a log-scale over 2 decades.
131
While compared to the lower power cases, the ion energies are higher overall due to the
larger voltage amplitudes, the peak energies still decline with increase in the phase angle from a
maximum of about 4500 eV for φ = 0° to 3000 eV at φ =180°. Comparing the cases with highest
and lowest ion energies (φ = 0° and φ = 180°), the peak ion energy was reduced by approximately
85% at 1000 W and reduced by 38% for 2000 W. This degree of ion energy control should enable
some degree of customizing fluxes for energy-sensitive surface processes. In particular, the
distribution of high-energy ions compared to low energy ions within the IEAD is sensitive to phase
angle.
These trends for the IEADs are in contrast to the much lighter and more agile electrons,
whose EEADs to the wafer are shown in Figure 4.11b. The EEADs display the same general trends
as in the low power case. The EEADs have high energies and narrow angular spreads for low
values of φ compared to thermal distributions due to the electric field reversal produced by VWT.
The energies decrease and angular spread increases with increasing phase angle φ. The φ = 0° case
is, again, a notable exception, having a lower peak energy than a larger phase angle. This exception
correlates with the smaller EFR described above. The suppressed electric field reversal due to
geometric confinement of the sheath leads to reduced electron acceleration, resulting is the
The IEADs, EEADs and fluxes for different phase angles for 2000 W bias power were used
as input to otherwise identical MCFPM simulations. The final etch profiles are shown in Figure
4.12a. Significant etch depths were reached for all phases, in deference to the PVWT = 1000 W
cases where an etch stop occurred due to excessive fluxes of polymer forming radicals, or lack of
polymer sputtering ions, at large phase angle. There are, however, significant differences in etch
depth and overall feature quality. The φ = 0° case produces the deepest overall etch while having
132
perhaps a tolerable amount of bowing. The φ = 45° case has less bowing but lower etch rate. These
trends result from φ = 0° case having the higher ion energies (faster overall etch rate) while the φ
= 45° has a narrower ion angular distribution (less bowing), albeit at a lower energy. Some
component of the narrower feature could be a result of the EEAD at φ = 45° being more energetic
which enables some non-negligible decrease in in-feature charging. The shape of the EEAD is
important to moderately increasing etch rate and moderately modifying sidewall slope through
neutralizing charge. However, the final feature quality is dominated by the EAD of ions rather than
the electrons.
Analogous to the low power case, MCFPM simulations using synthetic thermal EEADs
were performed, and the resulting profiles are compared to etch profiles resulting from VWT
produced EEADs in Figure 4.12b for φ = 0°, 90° and 180°. In the φ = 0° and 180° cases the
differences between the profiles obtained with thermal and VWT EEADs are small and below the
statistical threshold discussed in Appendix A. The profile obtained with the VWT EEAD for φ =
90° is deeper with a similar amount of tapering compared to the thermal EEAD. These trends result
from the EEADs onto the wafer for these conditions having the highest energy and lowest angular
spread for intermediate values of φ. This correlation between the improvement of the final etched
feature and the energy and directionality of the electrons reinforces the conclusion that these
directional electrons enhance the natural neutralization of charge that is produced by acceleration
of thermal electrons into the feature. However, the properties of the EEADs do not dominate the
133
Figure 4.12: Feature properties for PVWT = 2000 W following 15 minutes of etching a) Feature profiles for different
phase angles (φ = 0°, 45°, 90°, 135° and 180°). b) Comparison of profiles obtained with VWT generated EEADs
and synthetic thermal electron distribution for phase angles φ of 0°, 90° and 180°.
134
4.6 Concluding Remarks
using a capacitively coupled Ar/CF4/O2 plasma, computational investigations of the reactor scale
and feature scale processes were performed. While applying 500 W at 80 MHz to the top electrode
with the goal of sustaining a constant plasma density, the bottom electrode was driven using VWT
W and 2000 W. The phase of the even harmonics φ was varied in order to generate electrical
asymmetry as well as electric field reversal in the bottom sheath. It was found that changing φ
enables control of charged particle dynamics incident onto the wafer surface which in turn
translates to significant changes in etch profiles. At low phase angle the EAE as well as the EFR
are most significant, which leads to increased energy and directionality of ions as well as electrons
These favorable distributions in energy and angle increase etch rate by partially reducing
the negative effects of intra-feature charging. The directional electrons are better able to reach the
lower echelons of the feature and partially neutralize positive charges. The higher energy ions have
larger rates of chemical sputtering while also being more able overcome the remaining deflecting
electric fields produced by in-feature charging. Overall, for otherwise equal processing conditions
and time, low φ cases produced higher etch rates and more favorable sidewall slope. For low bias
power, the reduction in ion energy with large values of phase angle resulted in an etch stop due to
the large incident flux of polymerizing radicals. At low bias power, having higher ion energies,
sputtering of the polymer enabled competitive etching even at high phase angle.
A key finding of this investigation is the VWT produced EEADs having large energy and
narrow angle do not produce the expected significant reductions of in-feature charging compared
135
to thermal EEADs. The VWT produced EEADs did produce somewhat higher etch rates and lower
in-feature potentials. However, the buildup of positive charge in the feature accelerates thermal
electrons into the feature to energies commensurate with that of the positive potential. The VWT
produced EEADs are also accelerated into the feature by this positive potential. If the positive
potential is significantly larger than the average energy of the VWT produced EEAD, there is little
difference in feature properties between having thermal or VWT generated EEADs. Both are
accelerated into the feature by the positive charge to sufficient energy to neutralize, in the steady
state, the incremental positive charge brought into the feature by ions.
Another key finding is the need for a systems perspective in process design and in
employing VWT in particular. The results of this study showed that at low power, the VWT
generated EEADs produced a beneficial effect, enabling higher etch rates for a given power
compared to conventional sinusoidal excitation. Conversely, the same etch rate could be sustained
at a lower power. We also found that this benefit was not universal. At higher powers, we found
that the gap dimension (distance between wafer and top electrode) ultimately limited the ability of
VWT to generate electric field reversal and energetic fluxes to the wafer. Low phase angle
produces a larger (more negative) DC bias, which thickens the sheath. For a narrow gap CCP, the
sheath may consume a large fraction of the gap, which then interferes with the formation of EFR.
The fundamental physics of VWT generated EEADs applies to a wide range of powers. However,
as with many excitation schemes in plasma etching, the benefits of VWT are likely process
In the ideal, one would like to tailor an IEAD to best produce the desired etch feature, and
then tune the feature profile by tailoring the EEAD. Unfortunately, independently producing
specified IEAD and EEAD with the same waveform is difficult. Changing the waveform to
136
generate, for example, the desired EEAD will also affect the IEAD. There may be opportunity to
separately tailor the IEAD and EEAD by using binary-pulsed system. The system would consist
of two separate waveforms alternately applied, with one waveform optimized to produce the
137
4.7 References
[1] S. Huang, C. Huard, S. Shim, S. K. Nam, I.-C. Song, S. Lu and M. J. Kushner, Journal of
[2] J. K. Kim, S. Il Cho, S. H. Lee, C. K. Kim, K. S. Min and G. Y. Yeom, Journal of Vacuum
Science & Technology A: Vacuum, Surfaces, and Films 31, 061302 (2013).
[3] J. K. Kim, S. H. Lee, S. Il Cho and G. Y. Yeom, Journal of Vacuum Science & Technology
[4] U. Czarnetzki, J. Schulze, E. Schüngel and Z. Donkó, Plasma Sources Sci Technol 20, 024010
(2011).
138
Chapter 5 DC Self-Bias and Ion Energy at Very Low Driving Frequencies.
5.1 Introduction
Recent industry trends have favored the use of very low frequency (VLF) biases. VLF,
typically frequencies below 1-2 MHz, correspond to the thin sheath limit in which the ion entering
the sheath will cross the sheath in a small fraction of the RF cycle. In this regime, maximum ion
energies incident onto the wafer extend to Vrf-Vdc, where Vrf is the amplitude of a sinusoidal bias
and Vdc is the negative self-bias on the blocking capacitor. At the same time, the use of voltage
waveform tailoring (VWT), is being investigated to customize those ion energy distributions.
VWT consists of a bias which is the sum of multiple harmonic frequencies on a single electrode.
Due to the added technical complexity and lack of fundamental understanding of its role in
modifying ion and electron dynamics in and near the plasma sheath, VWT is only now being
The direct measurement of ion energies during industrial plasma etching processes is
usually not performed due to the added technical complexity or to avoid disturbing the plasma. As
a result, the DC self-bias, Vdc is frequently used as a proxy for ion energy onto the wafer. This is a
good approximation if the sheath is collisionless and the system is operating in the thick sheath
limit, where the ion requires many RF cycles to cross the sheath. For these conditions, the ion
energy distribution (IED) striking the wafer has a narrow width in energy centered on -Vdc. This is
typically the condition intended for control of the IED through VWT.
In this chapter, we discuss results from a computational investigation into the relationship
139
between Vdc and the IED for capacitively coupled plasmas employing VWT over a large range of
fundamental bias frequencies. The computed reactive fluxes to the substrate and their energy
distributions were used as input to a profile simulator to assess their influences of these reactive
fluxes on HAR features etched into SiO2. We found that at very low frequencies the ions are able
to dynamically react to the applied potential and as a consequence incident ion energy onto the
The reactor and feature scale models (HPEM and MCFPM) are described in Chapter 2
Brief descriptions of reactor and feature scale simulation setup and geometry employed in this
investigation are in Section 5.2. The results of the reactor scale gas phase simulations are presented
and discussed for constant bias voltage amplitude in Section 5.3 and for constant bias power in
Section 5.4. Results are discussed for simulated profiles of HAR trenches etched in SiO2 using the
reactive fluxes produced by these waveforms are discussed in Section 5.5. Concluding remarks are
in Section 5.6.
The investigation of the reactor scale plasma dynamics was performed using the Hybrid
Plasma Equipment Model (HPEM) [8–10] which is described in Section 2.1. The reaction
A schematic of the cylindrically symmetric CCP reactor used in this investigation is shown
in Figure 5.1. The reactor, modeled after multi-frequency CCPs used in industrial plasma etching
applications, consists of two parallel plate electrodes with a diameter of 30 cm separated by a 2.8
cm gap. A silicon wafer is mounted on the bottom electrode and a showerhead gas inlet is
distributed across the top electrode. A focus ring made of quartz to improve uniformity of fluxes
140
to the wafer surrounds the substrate. The dielectric constant of the quartz ring is ε/ε 0 = 4. While
the conductivity of the quartz ring is negligible, that of the Si wafer is 0.05/Ω-cm. The feedstock
gas is an Ar/CF4/O2 =75/15/10 mixture flowing at 500 sccm through the showerhead. The reactor
pressure is held constant at 40 mTorr at the location of a pressure sensor near the pump port. This
bottom electrode through a blocking capacitor of 100 nF. As such, we are not addressing distortion
of the waveform originating from the power supply that may occur by the impedance of the
transmission line and substrate. In quasi-steady state operation, the value of Vdc should be
independent of the value of the blocking capacitor provided that the RC time constant of the
plasma-capacitor series impedance is large compared to transients in current. The capacitance used
here is a balance of there being an acceptably short charging time and long enough RC time
constant so that there is little variation in the Vdc during the RF cycle.
141
The plasma is largely sustained by a sinusoidal voltage with amplitude VT applied to the
top electrode with a fundamental frequency of 80 MHz. To control the dynamics of charged
particles impinging on the wafer surface, a customized voltage waveform, V(t), is applied to the
bottom electrode. The waveform consists of a fundamental sine wave with a frequency f0 = 1 MHz
investigated by varying the phase shift of the even harmonics φ for k = 2, 4. The resulting
waveforms are equivalent to those shown in Figure 1.14 for phase shifts of φ = 0°, 45°, 90°, 135°
and 180°. With the shape and frequency of the applied voltage being closely linked to the plasma
a) Voltages are held constant at VT = 300 V and V0 = 1500 V, as phase shift φ and fundamental
The fluxes and particle energy and angular distributions to the wafer obtained from HPEM
are used as input to the Monte Carlo Feature Profile Model (MCFPM) to simulate the evolution of
etch features in semiconductor device materials [11,12]. The MCFM is described in Chapter 2.
The mechanism for etching SiO2 in an Ar/O2/CF4 gas mixture used in this work is described
in Ref. [11]. All incoming ions or hot neutrals resulting from neutralization of ions striking surfaces
can physically sputter all materials according to the incident particle's mass, angle and energy as
well as the surface binding energy of the material. Formation of a complex between fluorocarbon
radicals and SiO2 lowers the binding surface energy, thereby reducing the chemical sputtering
142
threshold. CxFy radicals deposit a thin polymer layer (at most a few nm) which is the primary
source of sidewall passivation within the feature, whose thickness is controlled by etching with
oxygen radicals.
To demonstrate the consequences of changes ion energy and angular distributions (IEADs)
resulting from varying f0 and phase angle, simulations were performed of etching a trench through
3000 nm of SiO2 covered by a 700 nm thick mask with a 100 nm opening; and terminated by a
100 nm thick Si stop layer. The total aspect ratio is approximately 30. The geometry used for the
feature evolution is shown in Figure 5.2. The simulation was performed in 3-dimensions with a
mesh consisting of 60 cells wide by 750 cells tall by 20 cells deep using periodic boundary
conditions, producing cubic voxels with a 5 nm side length. The etching was performed for a fixed
time of 10 minutes.
The intent of the simulation is a uniform trench perpendicular to the plane shown in Figure
5.2 which could, in principle, be performed in 2-dimensions. However, we have found that more
robust results are produced when performing a finite depth 3-dimensional simulation. In 2-
dimensions, roughness or statistical variation in surface properties are extended infinitely into the
third dimension, which can systematically prejudice the outcome, for example, overemphasizing
twisting. The finite depth 3D simulations, even with periodic boundary conditions, largely removes
143
Figure 5.2: Feature scale model initial conditions for simulating plasma etching of SiO 2. PR represents photoresist.
With constant applied voltage amplitudes of VT = 300 V and V0 = 1500 V, the fundamental
frequency f0 was varied from 1 MHz to 10 MHz. For each frequency a sweep across the phase
angle φ was performed with φ = 0°, 45°, 90°, 135° and 180°. The electron density for the base case
with f0 = 1 MHz and φ = 0° is shown in Figure 5.3a. The cycle averaged electron density at mid-
gap is 3.9 1010 cm-3, sustained by an electron temperature of 3.1 eV. Ionization at mid-gap is
approximately 90% by bulk electrons and 10% by secondary, sheath accelerated electrons. The
electronegativity (negative ion density/electron density) at mid-gap is 0.3. Electron density ne and
temperature Te are fairly homogeneous as a function of radius as shown in Figure 5.3a and d. The
ionization is dominated by the bulk electrons. The spatial electron source distribution, generated
144
by bulk electrons Sb. closely resembles those of ne and Te, as shown in Figure 5.3b. The smaller,
but still significant amount of ionization by secondary electrons S s is shown in Figure 5.3c. Since
the bulk ionization source is mostly a consequence of electrons accelerated by sheath motion, its
maximum is located at the sheath edges. Ss results from electrons accelerated by the total sheath
Figure 5.3: Plasma properties of the Ar/CF4/O2 CCP base case with VT = 300 V, V0 = 1500 V, f0 = 1 and φ = 0°.
Time averaged a) electron density ne, b) ionization source by bulk electrons Sb, c) ionization source by secondary
electrons Ss, and d) electron temperature, Te.
The different voltage waveforms that result from changing the phase angle φ can alter the
discharge dynamics through differences in sheath expansion heating, electron confinement and
modifying surface processes such as ion and electron induced secondary electron emission. While
the constant sinusoidal voltage applied to the top electrode is meant to provide a constant
background ionization and electron heating, some variation in electron density ne as a function of
φ nevertheless occurs and is shown in Figure 5.4 for fundamental frequencies f0 = 1 MHz to 10
145
MHz. Generally, ne is a function of the total input power at frequencies that produce electron
heating. With the top electrode powered at 80 MHz, the majority of this power is expended in
electron heating. With varying harmonic content with changing φ on the bottom electrode, power
deposition into electrons also varies, directly translating to changes in electron heating and
ionization.
Figure 5.4: Average electron density as a function of phase shift φ for fundamental frequencies f0 = 1 MHz to 10
MHz at constant applied voltage.
The decrease in electron density with increasing phase angle is at least partially the
consequence of less efficient electron confinement. Electrons are largely confined to the bulk
plasma by electric fields in the sheaths which point towards surfaces during the majority of the RF
cycle. Electrons typically reach the surface (to balance positive ion current) by diffusion across the
sheath during a short period during the anodic portion of the cycle. If transport by diffusion is
insufficient to balance currents, an electric field reversal may occur to accelerate electrons towards
the surface [13]. For φ = 0° (peak waveform), the plasma sheath is nearly at its maximum width
with the most negative sheath potential for the majority of the RF cycle, allowing electron transport
to the surface only during the brief collapse in the sheath at the peak of the anodic portion of the
146
cycle. The opposite is true for φ = 180° where the plasma sheath is collapsed (anode-like) for most
of the RF period, allowing electrons to transport to the bottom surface for a significantly longer
With constant voltage (VT = 300 V, V0 = 1500 V), power deposition from the top electrode
is a function of the bulk plasma properties and not independent of the bottom electrode power
coupling. Power deposition as a function of phase angle φ and fundamental frequency f0 are shown
in Figure 5.5a for the top electrode (PT) and Figure 5.5b for the bottom electrode (P0).
Figure 5.5: Power deposition for a) top electrode and b) bottom electrode as a function of phase shift φ for
fundamental frequencies f0 = 1 MHz to 10 MHz.
147
Higher fundamental frequencies f0 on the bottom electrode produce more efficient electron
heating and higher power deposition for a fixed voltage. For our conditions, electron power
deposition is dominated by stochastic heating resulting from sheath expansion (or sheath speed)
which is more rapid and occurs more often at higher frequencies. Increasing the fundamental
frequency f0 of the voltage on the bottom electrode therefore leads to a significant increase in
power deposition, PB. However, this relation is non-linear. A ten-fold increase in frequency f0 (1
MHz to 10 MHz) only results in a 1.5 (φ = 180°) to 2 (φ = 0°) increase in PB. These trends in power
deposition with frequency directly translate to the corresponding trends in ne as shown in Figure
5.4. Smaller phase angles have more rapidly expanding sheaths producing more electron heating,
leading to an increase in PB. With constant top voltage VT, additional electron heating produced by
PB which increases electron density translates to an increase in power deposition by the top
electrode, PT.
As described in Chapter 1, the dependence of Vdc on the shape of the applied waveform
through the generation of the electrical asymmetry effect (EAE) given by Eq. (1.53) [14–17]. This
relation implies that the magnitude of Vdc is largest (most negative) for φ = 0° and decreases with
increasing φ (becoming more positive). The values of Vdc produced by the model, shown in Figure
5.6a as a function of phase shift φ for fundamental frequencies f0 = 1, 2, 5 and 10 MHz, generally
verify this scaling. |Vdc| tends to decrease with increasing φ for all frequencies (the negative Vdc
becoming more positive). A few discrete exceptions occur, for example f0 =5 MHz, φ = 0°; and f0
= 1 MHz, φ = 180°. These exceptions are likely due to effects such as contributions of Vdc to
displacement current in a geometrically complex reactor and electronegativity of the plasma which
148
The mean ion energies striking the wafer are shown in Figure 5.6b as a function of phase
shift φ for fundamental frequencies f0 = 1, 2, 5 and 10 MHz. The expectation is that mean ion
energy should closely track the magnitude of Vdc with a change phase shift φ. This expectation is
met for the highest frequency 10 MHz. At lower frequencies, beginning with 5 MHz, the
correlation of mean ion energy with magnitude of Vdc as a function of phase shift φ begins to break
down. At 1 MHz, the mean ion energy increases with phase shift while magnitude of Vdc is constant
or is decreasing.
Figure 5.6: Plasma properties as a function of phase shift φ for different fundamental frequencies f0 = 1 MHz to 10
MHz for constant voltage. a) Vdc. b) Mean ion energy incident onto the wafer.
149
Recall that Vdc results from the requirement that the current flowing to each electrode
(powered and grounded) be equal over the RF cycle. In our model, currents are computed at the
electrode surface. If that surface is in direct contact with the plasma, the current consists of both
conduction current (charged particles flowing to the surface) and displacement current (
( )
jD = E t ). If the electrode is in contact with a conductive material that is in contact with the
plasma, such as the wafer, the current collected by the electrode consists of conduction current
(through the wafer) and displacement current. If the electrode is buried beneath or within non-
conducting dielectrics, the current the electrode collects is only displacement current.
Contributions of displacement current to the bottom electrode may originate from charging of the
top and sides of the focus ring. With the ratio of conduction to displacement current being functions
of frequency which differs for top and bottom electrodes, there is no expectation that Vdc should
be independent of frequency.
Another factor that may affect Vdc with frequency is electron heating. With electron heating
being dominated by sheath expansion, higher bias frequencies f0 produce more electron heating
adjacent to the sheath at the bottom electrode for any given voltage amplitude. With increasing f0
this increase in local as well as bulk electron density ne leads to a decrease in shielding lengths λD
and a decrease in the sheath width d𝑠 at the bottom electrode. The end result is a change in the
ratio of surface adjacent ion densities (nsp \ nsg). As described by Eq. 1.51 and 1.53 this change
An ion’s ability to react to temporally changing electric fields in the sheath is related to the
time required for the ion to cross the sheath, T, compared to the RF period, 1/RF, described by
150
1/2
2d 2 m
S = T RF = RF s i (5.1)
qVS
where 𝑑𝑠 is the average sheath thickness, VS is the average sheath potential, mi is the ion mass and
q is the elementary charge. For S > 1, ions typically do not dynamically respond to changes in the
electric field that occur in the sheath (high frequency, large mass, thick sheath) . Ions transiting
through sheaths whose oscillation frequency produces S >> 1 arrive at the surface with an energy
close to the average sheath potential. Although this scaling assumes a sinusoidal voltage with a
single ion species, it can nevertheless serve as a general guide to understanding the frequency
dependent trends in average ion energy onto the wafer shown in Figure 5.6b.
S depends on the value of the sheath width. Defining a precise criterion for location of the
sheath-bulk plasma transition is an active area of research [18–27] and beyond the scope of this
work. In this work the sheath edge is defined as the location at which the sheath electric field has
decayed to 10-3 of its maximum value. The resulting sheath thickness is shown in Figure 5.7a as a
Since the sheath thickness is generally inversely proportional to plasma density at the
sheath edge, which increases with f0, the sheath thickness decreases with increasing frequency. S
as a function of f0 for φ = 0° is shown in Figure 5.7b. Consistent with the premise above, non-
dynamic ion transport through the sheath with S > 1 occurs for f0 = 10 MHz. Dynamic transport
behavior where ions react to time variations of electric field in the sheath with S < 1 occurs for f0
= 1 MHz. As such, at the high end of frequencies the ion dynamics are dominated by time averaged
sheath properties, such as the DC self-bias, Vdc. It is for that reason, that mean ion energy as a
function of phase angle for f0 = 10 MHz scales proportionally to the magnitude of Vdc, as shown
in Figure 5.6b.
151
Figure 5.7: Sheath properties as a function of fundamental frequency f0 for φ = 0° at constant voltage. a) Sheath
thickness ds and b) sheath ion inertia coefficient S.
Moving to lower fundamental frequencies f0 the trend for average ion energy as a function
of phase angle φ reverses. At low f0 the average ion energy increases with increasing φ. Lowering
the fundamental frequency results in the sheath modulation time scales approaching a regime in
which ions can dynamically react to transients in the electric fields. For example, compare the
voltage waveforms shown in Figure 1.14 for φ = 0° (peak) and φ = 180° (valley). Assuming
dynamically reacting (positive) ions (S < 1), the maximum ion flux to the electrode occurs when
the applied voltage is most negative. At φ = 0° the applied potential is negative with respect to the
plasma for most of the RF period. However, the minimum sheath potential, Vmin, has a smaller
magnitude than for φ = 180°. It is this ability of the ions to (at least partially) react to applied
152
voltage transients that results in their incident energy onto the surface to scale with the DC self-
bias at high frequencies and the applied minimum potential at low frequencies.
The incident average energies of select ion species as a function of atomic mass for f0 = 1
Figure 5.8: Incident average energy of select ion species as a function of mass.
Due to their lower inertia, the lighter O+ ions can more rapidly react to changes in the
electric field than heavier species such as CF3+. Consequently, the lighter ions can reach an energy
closer to the maximum sheath potential drop during the small fraction of the RF period when the
potential is most negative. While the average ion energies differ by approximately 60 eV which is
only about 5% of the total, these trends illustrate the relation between the average ion energy and
the ion's ability to dynamically react to transient fields. This scaling is also shown by the trends in
the IEADs collected at the wafer surface shown in Figure 5.9 for f0 = 1 MHz and 10 MHz for phase
shifts φ = 0, 45, 90, 135 and 180°. At the lowest frequency the increase average energy with φ is
mirrored in the IEAD where the mean energy is roughly equal to -Vdc - |Vmin|.
153
As with the respective average energies onto the surface, there are significant differences
in the trends for IEADs as a function of φ between the low and high fundamental frequencies.
Overall, with f0 = 10 MHz both maximum and average energies trend downwards with increasing
Figure 5.9: IEADs as a function of phase shift φ for fundamental frequencies a) f0 = 1 MHz and b) f0 = 10 MHz at
constant applied voltage. Values are plotted on a 2-decade log scale.
154
Two distinct regimes for the behavior of average ion energy and IEAD with respect to the
`phase angle (and Vdc) can be defined: The first is the steady state ion regime having S >1 (large
f0) in which average ion energy onto the surface is well correlated to the magnitude of Vdc, with the
average energy being maximum at φ = 0° and minimum at φ = 180°. The second is the dynamic
ion regime having S < 1 (small f0) where average ion energy and the IEAD are dominated by the
temporal response of the ions to the applied voltage waveforms – with the average ion energy
To better align with industry practice where power (as opposed to voltage) is the control
variable, simulations were performed in which the total power deposition was held constant for
both electrodes while varying frequency and phase angle of the waveform on the bottom electrode.
This was achieved by adjusting the voltage on the top electrode, VT and bottom electrode, V0, to
The voltage amplitudes applied to the top electrode VT are shown in Figure 5.10a as a
function of phase shift φ for fundamental frequencies f0 = 1 MHz to 10 MHz. The mean electron
density as a function of φ for f0 = 1 to 10 MHz is shown in Figure 5.11. When delivering constant
power, VT is a weak function of φ for a given f0. However, the VT decreases with increasing f0. For
a given f0 with power delivered though the bottom electrode being held constant, the plasma
density and so total impedance of the system does not significantly vary with φ. Consequently, the
change in VT with φ to deliver constant power is small. However, with increasing f0, the fraction
of power delivered by the bottom electrode devoted to ion acceleration decreases and that delivered
to electron heating increases, which for constant power, produces an increase in electron density
155
Figure 5.10: Applied voltage for constant power (2000 W) as function of phase shift φ for fundamental frequencies
f0 = 1 to 10 MHz applied to the bottom electrode. a) Top electrode and b) bottom electrode.
The voltage applied to the bottom electrode, V0, with respect to f0 and φ is shown in Figure
5.10b for constant power deposition. Power deposition for constant voltage and f0 generally
decreases with increasing φ, as shown in Figure 5.5. To recoup this decrease in power to maintain
constant power, V0 increases with increasing φ. The thickness of the sheath adjacent to the bottom
electrode ds and the sheath ion inertia coefficient S as a function of f0 are shown in Figure 5.12 for
φ = 0° at constant voltage. With ds being a function of the electron density, which increases with
156
f0, the sheath thickness decreases. This reduction in ds contributes to a decrease in S. However, this
effect is dominated by the changes in f0 and Vs, ultimately resulting in an increase of S with f0. This
trend indicates that with constant power, a transition also occurs from the steady state ion regime
Figure 5.11: Mean electron density as a function of phase shift φ for fundamental frequencies f0 = 1 MHz to 10 MHz
at constant applied power.
The DC self-bias Vdc as a function of phase angle φ for f0 = 1 MHz to 10 MHz is shown in
Figure 5.13a while maintaining constant power. The trends with φ are similar to those when
keeping voltage constant, shown in Figure 5.6a, where the magnitude of Vdc decreases (becomes
more positive) with φ due to the electrical asymmetry effect. However, when holding power
constant, the amplitude of V0 decreases with increasing f0 due to there being a larger proportion of
power being more efficiently dissipated by electron heating. With a decrease in amplitude of V0,
157
Figure 5.12: Sheath properties as a function of fundamental frequency f0 for φ = 0° at constant power. a) Sheath
thickness ds and b) sheath ion inertia coefficient S.
The average ion energies onto the wafer are shown in Figure 5.13b as a function of phase
shift φ for f0 = 1 MHz to 10 MHz. The corresponding IEADs as a function of phase shift φ for f0 =
1 MHz and f0 =10 MHz are shown in Figure 5.14. As when holding V0 constant, for higher
frequencies the average ion energies scale with the magnitude of Vdc due to the inability of the ions
to dynamically react to the transients in applied voltage. For f0 = 10 MHz and f0 = 5 MHz this leads
to a decrease in average energy onto the wafer with increasing phase angle. As with constant
voltage, at low frequencies f0, the average ion energies are poorly correlated (and, in fact, anti-
correlated) with Vdc and instead reflect the maximum sheath potentials.
158
Figure 5.13: Plasma parameters as a function of phase shift φ for fundamental frequencies f0 = 1 MHz to 10 MHz at
constant power. a) DC self-bias and b) Mean ion energy delivered to the wafer.
As a result of the applied voltage no longer being constant, the resulting average ion
energies are strong functions of phase shift φ for fundamental frequencies f0. For example, the
mean energies at 1 MHz are larger than those at 10 MHz by a factor of 2 for φ = 0 ° and 4.5 at φ
= 180 °. These similarities and differences in mean ion energy between the constant voltage and
constant power cases translate to the respective IEADs. At the lowest frequency (f0 = 1 MHz) the
IEADs for φ = 0 and 180° are more monoenergetic, reflecting the applied waveforms. The shapes
of the applied voltage for these phase shifts roughly consist of a plateau and a singular well-defined
159
= 45, 90 and 135°) do not have similar monoenergetic structures as the applied waveforms
Desired anisotropic etch profiles require a balance of polymer deposition and activation
energy delivered by ions and hot neutrals whose rates are largely determined but the magnitude of
Figure 5.14: IEADs as a function of phase shift φ with constant power for fundamental frequencies a) f0 = 1 and b)
10 MHz. Values are plotted on a 2-decade log scale.
160
Figure 5.15: Fluxes to the wafer as a function of phase shift φ for fundamental frequencies f0 = 1 to 10 MHz while
keeping power constant. a) Total polymerizing flux, b) O-atom flux, c) total ion flux and d) ratio of polymerizing
flux to ion flux.
161
The generation of oxide-polymer-complexes which enables selective removal of the SiO2
is correlated to the incident flux of the polymerizing CxFy gas phase species, which are shown as
a function of φ and f0 in Figure 5.15a. The overall trends are that polymerizing fluxes are weak
functions of phase angle φ while generally increasing with increasing f0 on the bottom electrode.
With increasing f0, a larger proportion of bias power is dissipated by electron heating, which then
produces a larger rate of dissociation of the CF4 feedstock gas. With increasing phase angle, the
sheath is collapsed for a greater fraction of the cycle, thereby moving the effective (time average)
sheath edge closer to the wafer. This shift in sheath edge places radical production closer to the
The thickness of the polymer layer by deposition is balanced by chemical, isotropic etching
by O radicals and anisotropic sputtering by directional ions. The time and spatial average fluxes
to the wafer of atomic oxygen, O, the most prevalent oxygen radical are shown in Figure 5.15b.
The general trends reflect those of the polymerizing fluxes (Fig 16a), fluxes that increase with φ
and f0.
The magnitude of the ion flux, shown in Figure 5.15c, has a first order effect on etch rates
and feature quality. Applied electric fields directly influence charged particle transport, whereas
electron impact dissociation, excitation and ionization are at least one step removed as these
phenomena occur as a result of electron collisions following their acceleration by electric fields.
The dominant mechanism for ion power deposition is through sheath acceleration which, assuming
a collision-less sheath, is proportional to the product of ion flux and incident ion energy. Assuming
a constant fraction of power deposition by ion acceleration, a decrease in incident ion energy would
necessitate an increase in ion flux to maintain the desired power. This is the trend for f 0 =5 MHz
and 10 MHz in which the trend of ion-flux with phase angle is opposite that of the ion energy. This
162
explanation fails to capture the low frequency behavior in ion flux where an increasing fraction of
To characterize the consequences of reactant fluxes and IEADs on SiO2 etch properties, profile
simulations were performed for f0 = 1 MHz and 10 MHz for phase angles φ = 0° to 180° while
maintaining constant power. The resulting features for f0 = 1 MHz are shown in Figure 5.16.
Figure 5.16: Predictions for etch profiles in SiO2 at constant power with fundamental frequency f0 = 1 MHz and
varying phase angles φ.
163
For constant processing time, etching through the entire 3000 nm thick SiO 2 layer only
occurred for φ = 180° while for φ = 0° the final etch depth is 1600 nm. The total relative etch rates
are 0.53, 0.6, 0.78, 0.93 and 1.0 for φ = 0°, 45°, 90°, 135°, and 180° respectively. This trend is
directly correlated to the trend in incident ion energy. Higher ion energies generally more rapidly
remove SiO2 by direct or chemically enhanced sputtering and retain their ability to do so after
losing energy to grazing sidewall collisions. The profiles produced by IEADs at higher φ have
more desirable characteristics such as straighter sidewalls and less overall bowing. This benefit is
a direct consequence of the narrower angular distribution of the incident ions. The SiO 2 etch
reactants. Since these fluxes have a small increase with φ (Figure 5.15a), the increased availability
of reactants could also play a role in the increased etch rate if the etch progression is flux limited
The results of the feature etching for f0 = 10 MHz are shown in Figure 5.17. The overall
etch rates are similar to those at f0 =1 MHz while the incident ion energies are significantly lower
overall. The trend in etch depth with phase angle is the opposite to that for f0 = 1 MHz. With f0 =
10 MHz, etch rates mildly decrease with increasing phase angle φ while increasing for f0 = 1 MHz.
These trends most directly follow from both the average ion energies and maximum ion energies
trending higher with increasing phase angle φ at f0 = 1 MHz while decreasing at f0 = 10 MHz. A
secondary effect is that ion fluxes are nearly constant with increasing phase angle at f0 = 10 MHz
while increasing at f0 = 1 MHz. With chemical and physical sputtering rates depending on ion
energy as 1/2, large increases in ion energy are required for significant increases in etch rates. That
said, the likelihood for specular scattering from side walls increases with increasing ion energy,
and so more energy is retained deeper into the feature upon grazing collisions with sidewalls. On
164
the other hand, for otherwise constant, non-rate limiting conditions, etch rates increase linearly
Figure 5.17: Predictions for etch profiles in SiO2 at constant power with fundamental frequency f0 = 10 MHz and
varying phase angles φ.
While certainly an important parameter, ion energy is not the sole determining factor for
etch rate. The etch process is based on a sensitive balance of surface passivation, activation and
removal by fluxes of neutrals, ions and hot neutrals (generated by ions neutralizing during surface
collisions). For each fundamental frequency, the ratio of these fluxes as function of φ is relatively
constant, as shown in Figure 5.15d. Although ion energy and fluxes determine overall rate of
165
etching, the shape of the feature (e.g., sidewall slope, bowing) depend on relative rates of
passivation by deposition, etching and sputtering. For example, for f0 = 10 MHz, in spite of the
higher etch rate with increasing phase angle, the features generally have less bowing, an effect that
may be attributable to a larger ratio of polymerizing flux to ion flux. Large fluxes of passivating
Coupled reactor and feature scale simulations were performed to investigate the
consequences of the fundamental driving frequency f0 on the relation between DC self-bias Vdc,
incident ion energy onto the wafer and reactive fluxes in dielectric etch processes using tailored
voltage waveforms as a power source. For a set of waveforms based on consecutive harmonics for
which the relative phase angle φ was varied from 0 to 180°, gas phase simulations were performed
using fundamental frequencies f0 = 1, 2, 5 and 10 MHz. While plasma conditions were found to
differ when holding either voltage or power constant, the trends in Vdc, were qualitatively similar
for the two scenarios. The magnitude of Vdc decreases (a negative bias becoming more positive)
with increasing φ for all f0 at constant voltage as well as power. Due to ion inertia, in the high
frequency regime ion fluxes to the substrate are dominated by time average quantities such as the
Vdc self. At low f0, the ions are able to react transient characteristics in the sheath such as local
extremes in the sheath potential directly produced by the applied tailored voltage waveforms.
are well known. The thick sheath regime corresponding to high frequency and large ion mass
produces a single peaked IEAD. The thick sheath regime corresponding to low frequency and
small mass produces a double peaked IEAD. The extension of these dependencies to VWT power
sources is not straight forward due to the intrinsically more complex sheath structure and harmonic
166
content. The results of this study suggest that scaling of Vdc produced by processes akin to electrical
asymmetry, a common goal sought when using voltage waveform tailoring, is particularly
sensitive to the frequency regime of f0. Low values of f0 can result in significantly different trends
of incident ion energy as a function of phase angle compared to high values of f0. Incident ion
energy is closely correlated to the Vdc self-bias at high values of f0, maximum at φ = 0° and
minimum at φ = 180°. For low values of f0, this correlation dissipates, and incident ion energy is
instead dominated by the sheath dynamics of the applied voltage waveforms, maximum at φ =
The trends in ion energy (and IEADs) as a function of f0 and φ directly impact the HAR
etch process. Although the observations and conclusions made in this work are highly dependent
on power deposition, plasma density, chemical composition and geometry, these observations also
open additional avenues for process control. With 3-dimensional structures and atomic layer
resolution already dominating industrial plasma etching processes, additional control strategies are
required to achieve the desired critical dimensions. With frequency agile power supplies becoming
more available, the combination of using VWT while varying fundamental frequency and phase
167
5.7 References
[1] G. A. Skarphedinsson and J. T. Gudmundsson, Plasma Sources Sci Technol 29, 084004
(2020).
Mussenbrock, Z. Juhasz, Z. Donkó, A. Derzsi, E. Lee and J. Schulze, J Phys D Appl Phys
[4] Z. Donkó, A. Derzsi, M. Vass, J. Schulze, E. Schuengel and S. Hamaguchi, Plasma Sources
[6] J. Wang, S. Dine, J.-P. Booth and E. V. Johnson, Journal of Vacuum Science & Technology
[8] C. Qu, Y. Sakiyama, P. Agarwal and M. J. Kushner, Journal of Vacuum Science &
[9] S. J. Lanham, J. Polito, Z. Xiong, U. R. Kortshagen and M. J. Kushner, J Appl Phys 132,
73301 (2022).
[10] S. Huang, S. Shim, S. K. Nam and M. J. Kushner, Journal of Vacuum Science & Technology
168
[11] S. Huang, C. Huard, S. Shim, S. K. Nam, I.-C. Song, S. Lu and M. J. Kushner, Journal of
[12] C. M. Huard, S. Sriraman, A. Paterson and M. J. Kushner, Journal of Vacuum Science &
[14] B. G. Heil, U. Czarnetzki, R. P. Brinkmann and T. Mussenbrock, J Phys D Appl Phys 41,
165202 (2008).
[15] U. Czarnetzki, J. Schulze, E. Schüngel and Z. Donkó, Plasma Sources Sci Technol 20,
024010 (2011).
[16] J. Schulze, E. Schüngel, Z. Donkó and U. Czarnetzki, Plasma Sources Sci Technol 20,
15017 (2011).
[17] S. J. Doyle, A. R. Gibson, R. W. Boswell, C. Charles and J. P. Dedrick, Plasma Sources Sci
[18] L. P. Beving, M. M. Hopkins and S. D. Baalrud, Plasma Sources Sci Technol 31, 084009
(2022).
[19] B. G. Heil, R. P. Brinkmann and U. Czarnetzki, J Phys D Appl Phys 41, 225208 (2008).
[20] T. Shirafuji and K. Denpoh, Jpn J Appl Phys 57, 06JG02 (2018).
[22] O. Murillo, A. S. Mustafaev and V. S. Sukhomlinov, Technical Physics 64, 1308 (2019).
169
[24] S. D. Baalrud, B. Scheiner, B. T. Yee, M. M. Hopkins and E. Barnat, Plasma Sources Sci
[27] M. Klich, J. Löwer, S. Wilczek, T. Mussenbrock and R. P. Brinkmann, Plasma Sources Sci
170
Chapter 6 Autonomous Hybrid Optimization of a SiO2 Plasma Etching Mechanism
6.1 Introduction
The computational modeling of plasma etching processes is receiving new interest at least
in part due to its ability to aid in the design and understanding of semiconductor device
manufacturing and provide the basis for machine learning based optimization of processes [1–5].
In simulation of plasma etching, the framework typically includes a reactor scale model and a
feature scale model [6–8]. The reactor scale model provides reactive fluxes, including their energy
and angular distributions, that are used as input to the feature scale model [9][10]. There are several
classes of feature scale models – using level-set-methods [11–14], statistical voxel approaches
[15–17], and molecular dynamics (MD) [18,19]. Although MD methods are the most fundamental,
they are currently computationally limited in the size of the feature that can be simulated and by
availability of inter-particle potentials for complex chemistries. As a result, most full-feature scale
capable models employ level set or statistical voxel methods with, in most cases, less fundamental
reaction mechanisms.
understanding of the physical process involved in plasma etching, feature profile simulations
typically make use of simplified or reduce reaction mechanisms that use rate coefficients, reaction
probabilities, threshold energies and energy and angular scaling to represent reactions between gas
phase and surface species. The outcome of these reactions are the addition of material (deposition),
[16,20,21]. The reaction mechanism and the coefficients and parameters that quantify the
171
mechanism are ideally based on either experimental observations or more complex computational
Rapidly and accurately producing reaction mechanisms for profile simulation for novel
materials, gas mixtures and temperature regimes, as in cryogenic etch processes [22–24], is
challenging. This is particularly the case in data starved regimes, and so there is a need to robustly
and efficiently adjust and improve reaction mechanisms. Classical optimization techniques as well
Applying ML techniques for process design is an expanding area of research where the
increasing number of tunable parameters and complexity as well as highly non-linear behavior has
Model-based feedback has proven effective at enabling precise, repeatable and stable process
control [29–31]. Here the same issues with respect to data availability and consistency apply and
the control models, too, are sometimes based on machine learning methods as well. This approach
to virtual metrology has proven fairly effective as the efficient models often allow for rapid real
time evaluation [32–36]. Other applications include the development of surrogate methods where
statistical models are trained on actual experimental data or synthetically computed data to
simulate the process as a whole or act as a sub model in a larger context [37,38], a practice
especially common in large scale simulations of fusion plasmas [39–45]. Ideally, these models are
capable of reproducing reactor and feature scale etch processes either directly as an outcome of
the surrogate model or by interpolating between known results [46,47]. Generally, these types of
approaches have underlying model structures that are valid in their training parameters space,
however the parameters that are the outcome of the underlying model do not provide physical
insight. Many of these data driven ML approaches are themselves fundamentally limited by the
172
availability or accuracy of the data used for training purposes. Producing this data is itself costly
and time consuming, while the resulting trained ML based model may have limited applicability
The challenge of needing large training sets of data has led to the use of physics informed
ML and optimizations techniques where a lack of data is, to some degree, mitigated by the explicit
use of physical formulations and models to reduce the degrees of freedom in the ML-derived
model. Using this approach, a reaction mechanism can be built using known forms of probabilities
of gas-surface interactions which may have poorly known coefficients. Using ML-methods to
derive the physics-based coefficients should, in principle, produce a reaction mechanism that
These challenges specifically apply to the modeling of high aspect ratio (HAR) plasma
etch processes [48–51]. The aspect ratio (AR) is the ratio of depth and to the width, most often
given by the opening of a mask material. These processes are of relevance to the creation of vias,
contact holes and isolation trenches [50]. Features are now produced for 3D memory devices
having ARs approaching 100. Ideal HAR features have vertical side walls with widths replicating
the mask with a minimum of bowing [52] or tapering [53]. There are many process-dependent
challenges with make these outcomes difficult. For example, polymer deposition is a critical
process in dielectric plasma etching in fluorocarbon gas mixtures [54,55]. A critical process
challenge is the control polymer deposition in the upper regions of the mask during the etch
process, which may result in necking and clogging [46,56,57]. Necking is the narrowing of the
opening in the mask by polymer deposition. Clogging is closing of the mask opening by the
deposition. Both necking and clogging result from the same processes with different degrees of
severity. Clogging will stop etching while necking can limit neutral gas transport to the bottom,
173
trap etched surface species and shadow ions and photons into the feature. Modeling these
Physics informed ML approaches to process development have taken the form of compact
or reduced models. For example, through ML methods, reduced reaction mechanisms can be
generated [58–60]. In a reduced reaction mechanism, species or reactions for which the outcome
is weakly dependent are removed from a comprehensive mechanism, resulting in a model that is
computationally more efficient. Reduced reaction mechanisms, though more rapidly executing,
are generally valid over a limited range of operation, such as power, gas mixture or pressure.
The optimization of the reaction mechanism itself has been investigated previously by
similar means for simulations based on Monte Carlo approaches as well as simulations based on
cellular automatons [61–65]. Optimization schemes such as evolutionary algorithms and particle
swarm methods can require many evaluations of the model. This large number of evaluations can
lead to a large computational expense or needing to reduce the domain over which the optimization
is being performed, either spatially or in terms of interaction complexity (e.g. limiting the number
of species).
optimization technique using a coupled gradient descent – Nelder Mead approach to derive
plasma etching. The demonstration system is SiO2 HAR plasma etching in a fluorocarbon gas
mixture. The reactor scale simulations that provide reactant fluxes were performed with the Hybrid
Plasma Equipment Model (HPEM). The feature scale simulations were performed with the Monte
A high-level overview of the optimization scheme is given in Section 6.2. The process to
174
be optimized as well as the simulation tools used for the gas phase and feature scale simulation are
discussed in Section 6.3 and 6.4. Metrics for the optimization process are defined in Section 6.5.
The optimization schemes are described in Sections 6.6 and 6.7 and the hybrid approach is
motivated. Results of the optimization combined optimization are discussed in Section 6.8. To test
the transferability of the derived mechanism, etch processes outside its original training regime
were simulated, with those results being presented in 6.9. The simultaneous optimization of
multiple features is investigated in Section 6.10. Concluding remarks are in Sec. 6.11.
The goal of this work is to optimize the reaction mechanism that describes plasma-surface
interactions for SiO2 etching to best replicate experimental data, which is provided in the form of
scanning electron microscopy (SEM) images. The optimization is centered around adjusting
physics parameters, in the reaction mechanism, represented by scalar values pi, each representing
p1
p
p= 2 (6.1)
pn
The size of the parameter space scales like O(cn) making exhaustive search algorithms
impractical for even moderately large numbers of parameters. Enforcing constraints and relations
based on prior physical knowledge of the likely range of pi can help to reduce the total parameter
space and enable the use of conventional optimization techniques. This physical knowledge is, in
our case, implemented by using a well-established surface mechanism having poorly known
reaction probabilities, and applying physically reasonable boundaries to those poorly known
coefficients. The optimization scheme is based on a hybrid approach, combining a gradient descent
175
method [27,66,67] with a Nelder-Mead optimization scheme [68–70]. A top-level overview of the
The optimization setup requires external input (located on the left-hand side of Figure 6.1)
which in the case are the reactant fluxes of radicals and ions, and their energy and angular
distributions (EADs), that are incident onto the wafer being processed. These fluxes and EADs
were provided by the HPEM using reactor conditions that produced the features that were imaged
by the SEMS. A legitimate issue is the sensitivity of the optimized feature scale reaction
mechanism to the accuracy of fluxes and EADs provided by the HPEM. Although this is an
important discussion, it is beyond the scope of this particular investigation which is focused on
developing the feature scale reaction mechanism. As such, the fluxes and EADs from the HPEM
are treated as the ground truth. Details of the experiment and gas phase simulation are provided in
176
Section 6.3.
The gas phase fluxes and EADS are fed into MCFPM which performs the etch process
simulations outlined in Section 6.4. The result of these simulations is compared to the features in
the SEM images. Based on a set of geometric metrics, discussed in Section 6.5, a model error is
determined. The data produced by the MCFPM and their differences to experimental data
effectively act as the loss function to be minimized. The model error is used as the basis for the
optimizer which iteratively adjusts the model parameters p to minimize the loss. This loop is
The reactor scale gas phase simulations were performed using the Hybrid Plasma
Equipment Model (HPEM), which is described in Section 2.1. The HPEM was used to model a
capacitively coupled plasma sustained in a C4F6/Ar/O2 gas mixture at 10 mTorr. In the base case
the total gas flows were C4F6/Ar/O2 = 140/100/105 sccm. The reactor configuration is shown in
Figure 6.2.
177
Two electrodes with a radius of 15 cm are separated by a 4 cm gap. Dual radio frequency
(RF) power VRF is applied to the bottom electrode. The voltage waveform consists of low and high
frequency components, Vrf(t) = Vlf sin(2πflft) + Vhf sin(2πfhft) with flf = 1 MHz and f hf = 40 MHz.
For the base case low frequency voltage, Vlf and high frequency voltage Vhf, were adjusted to
supply powers of Plf = 8.0 kW and Phf = 2.5 kW. A negative DC bias of -500 V was applied to the
top electrode, to deliver 650 W. The reaction mechanism is based on previous work [16,51].
178
Figure 6.3: Plasma properties for the base case: a) Electron density [e], b) electron temperature Te and c) negative
fluorine ion Density [F-], d) ionization rate by bulk electrons, e) ionization rate by secondary electrons.
The spatial distributions of the electron density [e], electron temperature, T e, negative
fluorine ion density [F-], ionization by bulk electrons Sb, and ionization by secondary electrons Ss
Due to the large power input, the electron density is high, with a maximum value of 9.5 x
1010 cm-3. The bulk electron temperature, shown for electron densities > 1.0 109 cm3, is 3.4 to
3.8 eV over the wafer. High energy electrons are initially produced by secondary electron emission
by ion bombardment, followed by acceleration in the sheaths. The electron source generated by
bulk electrons Sb, mirrors that of [e] and Te. Most of the ionization is produced by bulk processes.
Ionization produced by sheath accelerated beam electrons plays a secondary but nevertheless
important role in the overall ionization dynamics. Fluorine and oxygen containing plasmas can
have significant densities of negative ions. The density of F-, shown in Figure 6.3c, has the largest
density of negative ion in this process with a maximum density of 2.2 x 10 10 cm-3. Due to the
plasma having on the average, a positive electric potential, the F- ions are confined to the center of
the plasma. The negative ions have only a small overall effect on plasma transport dynamics to the
wafer due to its negligible density close to the sheath region where its effect on electron heating
Plasma-surface interactions are almost entirely described by the fluxes of reactive species
and ions, and their energy and angular distributions onto the surface. The fluxes of the most
significant neutral and ion species incident onto the wafer at a radial position of 7.5 cm are listed
in Table 6.1.
179
Table 6.1: Base case fluxes to wafer
These fluxes include the fluorocarbons radicals most responsible for polymer deposition
as well as atomic oxygen which etches and removes the polymer. The ratio of the flux of ions and
neutrals to the wafer is an important parameter in the overall process dynamics. The anisotropy of
the process is almost solely enabled by the directionality of ions incident onto the surface whereas
the fluxes of neutral fluorocarbon radicals determine passivation. This is especially true for HAR
processes in which the overall performance requires a precise balance of deposition and removal
of polymerizing radical fluxes, both of which are either indirectly or directly dependent on the ion
In addition to the magnitude of the ion flux, the influence of energetic positive ion fluxes
incident onto the surface and their transport through HAR features is sensitive to their energy and
angular distribution (IEAD). The combined IEAD of all positive ion species is shown in Figure
6.4. Due to the large applied low frequency power, the sheath potential and commensurate DC
self-bias, positive ions are accelerated vertically into the wafer while traversing the sheath. This
leads to a narrow angular distribution of ions striking the wafer with energies up to 4800 eV, both
180
Figure 6.4: Ion Energy-Angular Distribution sampled at the wafer surface during the base case.
The process investigated in this work is a HAR plasma etch into SiO2 using an amorphous
carbon (AC) mask, schematically shown in Figure 6.5. A SiO2 substrate is covered by a 850 nm
thick AC film patterned to contain an ideal, straight walled, opening with an initial width of 90
181
Figure 6.5: Feature scale simulation setup consisting of a SiO2 substrate with an amorphous carbon mask.
The surface simulations were performed using the Monte Carlo Feature Scale Model
(MCFPM) [16,50,74,75]. MCFPM is described in Section 2.2. The SiO2 reaction mechanism is
based on previous work by Huang et al [51] and is described in detail in [16]. A high-level overview
of the mechanism is listed in Table 6.2. SiO2 can be removed through physical sputtering by
energetic ions and hot neutrals. The sputtered products can be redeposited on other surfaces.
Unsaturated fluorocarbons can chemisorb on the SiO2 to form an oxide -fluorocarbon complex.
This complex is in turn easier to sputter based on a modified threshold (reduced total binding
energy) and an overall higher reaction probability as the site has a lower binding energy. Additional
182
polymer can be deposited on top of the complex as well as other surfaces, providing a physical
barrier to further etching and providing sidewall passivation. The polymer can be removed by
physical sputtering or chemical etching by oxygen radicals. The only O-containing radical having
The gas phase reactions with the mask and substrate are ultimately contained in a single
global reaction mechanism where they interact and share certain reactions, such as polymer
deposition. A high-level overview of the AC mask reaction mechanism is in Table 2 and the full
precursors on AC and on prior deposited polymer. Similar to the etch mechanism for SiO2, polymer
is removed by oxygen radicals and physical sputtering. The AC can be sputtered by ions and hot
neutrals as well.
assembly of monomers. This radical based film can subsequently crosslink to create an actual
polymeric material that is more resistant to sputtering. Ion bombardment can then break bonds
neighboring species. The manner of linkage determines the reactivity of the polymer due to, for
example, a lack of available radical sites for or more resistance to physical processes due to an
increase in total bond strength. These crosslinks can be broken by exposure to energetic particles
or radiation, for example through exposure to plasma. Since these energetic particles are typically
delivered anisotropically to the surface, this spatially discriminate activation can result in shaping
of the polymer deposition. The developed crosslinking mechanism used in this work is described
in Section 2.2.3.
183
Since the control of necking and clogging by polymer deposition is of special interest, we
discuss it as an important control parameter. The amount of polymer growth is determined by the
ratio of deposition and removal. A steady state polymer thickness occurs when these contributions
balance. Polymer removal occurs by sputtering and O-radical based etching. In oxygen rich gas
mixtures, polymer removal can be dominated by O-radical based etching, mostly ground state
atomic oxygen.
Figure 6.6: Etch features for different O based polymer etch probabilities 0.5% (left) and 2.0% (right).
184
In the mechanism discussed here, necking and clogging (the amount of polymer deposition
in the mask region) can ultimately be controlled by the reaction probability of the O based polymer
etch. The final etch profiles for otherwise identical process conditions are shown in Figure 6.6
while varying the probability of polymer etching by O-atoms. An etch probability of 0.5% results
in net polymer growth which ultimately leads to a complete clog at the top of the feature. Higher
removal probabilities, while still producing significant necking, does not fully clog the feature and
Reaction Description
SiO2(s) + M(g) → SiO2(g) + M(g) Physical sputtering of SiO2
185
6.5 Target Metrics and Loss Function
when tuning the reaction mechanism. In this work the loss function L(p) of a given parameter set
p is the RMS error between the simulation and experiment of geometric measures, or shape
While these shape parameters are not independent of each other, they nevertheless each
aim to represent a specific characteristic of the feature that is of technical relevance. The width of
the mask opening wm including deposition stands as a measure of the necking and clogging, a
186
process which is dependent on the ration of the fluxes of polymer depositing to removing species.
The narrowed opening can impede neutral gas transport into the feature, trap etch products inside
the feature and shadow the trajectories ions and photons. Although the shape (curvature) of the
polymer deposition is not a shape parameter in this investigation, the curvature of the polymer
affects the angle of the trajectory with which ions (hot neutrals) reflect from its surface deeper into
the surface. An improved approach might include shape (curvature) in the optimization process.
The width at the top of the feature, wt, aims to capture undercutting (etching under the
mask) that mostly occurs with excessive isotropic etching of the substrate or with there being
insufficient passivation of the surface of the substrate compared to that of the mask material. The
maximum width of the feature wf, captures the occurrence of bowing, which can be caused by lack
Mask selectivity refers to the relative rate of etching of the mask compared to the substrate.
Masks for HAR etching must have high selectivity (low etch rate) compared to the substrate so
that that the mask has a reasonable small thickness. The height of the mask hm (height is thickness
of the mask plus thickness of the substrate) must be controlled to ensure that the mask has a critical
thickness at the end of the etch. The thickness of the mask determines the degree to which ions
having broad angular spread are shadowed by and reflect off the mask prior to entering the feature.
The depth of the final feature, hf, fundamentally defines the characteristics of HAR features
and for a given process time determines the etch rate. Undesired etch defects such as twisting [76]
can be captured by measuring the asymmetry, ah, across the vertical center axis (dotted vertical
L( p) = awm ( wˆ m − wm )2 + awt ( wˆ t − wt ) 2 + awf ( wˆ f − w f ) 2 + ahm (hˆm − hm ) 2 + ahf (hˆ f − h f )2 + aah (aˆh − ah ) 2 (6.2)
187
where the hat accent (^) denotes the target value of a property. Each singular error component can
be weighted in accordance with its importance or sensitivity by the respective weight factors ai. In
this work all components are equally weighted with the exception of the total asymmetry which
has a higher weighting. The total asymmetry is computed based on the total number of asymmetric
For any given evaluation of the loss function L( p) , MCFPM has to be executed to simulate
a full etch, which carries with it a computational cost. The method of evaluating and minimizing
L( p) through the optimization algorithm should have the goal of minimizing the number of
evaluations. In this work, the target metrics are based on the scanning electron microscopy (SEM)
image, shown in Figure 6.8. This image was produced after the process described in Section 6.3
was performed in a reactor that on which the simulated geometry was based.
Figure 6.8: SEM image resulting from the base case experiment.
188
6.6 Gradient Descent
Gradient descent (GD) is a method for minimizing a multivariate error function, which is
convenient due to its conceptual simplicity, universality and fast convergence. The GD method
has been applied to a wide variety of physical and engineering problems [66,67,77–81]. In short,
GD is based on following the gradient of a loss function L to its minimum as shown in Figure 6.9.
Figure 6.9: Outline of the gradient descent method for a 1D parameter space.
189
For a given set of parameters pi the selection of the next set of parameters be expressed as
pi +1 = pi − L(pi ) , (6.3)
where η is the learning rate which controls the effective step size and the rate of descent. The loss
function consists of a set of discrete points that results from successive runs of the MCFPM and
so is not natively differentiable. A finite difference scheme was used to estimate the partial
for every dimension of the parameter space n and computing the relative delta ΔL/ Δpj. Effectively,
n
L n
L(pi ) − L(pi )
pi +1 = pi − x j = pi − x j (6.5)
j =1 p j j =1 pij − pji
A useful modification to the gradient descent method is the addition of a momentum term,
that enables the method to overcome local extrema and avoid convergence around a non-global
term to the updated parameters. The previous gradient is remembered and the update on the next
iteration is determined by the effective gradient gi which is a linear combination of the actual
pi +1 = pi − gi (6.6)
with
gi = gi −1 + L(pi ) (6.7)
where δ is the momentum decay coefficient which determines the overall strength of the
momentum term. Akin to a heavy ball, rolling down a gravitational potential (referred to as heavy
190
ball method) the gradient descent is less affected by small scale perturbation or “roughness” of the
Based on this methodology, an initial optimization was performed. The tuning parameters as well
as their intervals are listed in Table 6.3. The target metrics obtained from experimental data are
listed in Table 6.4. The optimization was performed for a total of 100 epochs. (An epoch is single
epochs. The total loss quickly decreased during the first 20 epochs which indicates a partially
successful optimization. This convergence mirrored by the evolution of the target metrics, shown
in Figure 6.10b). The three metrics, etch depth hf (blue squares), minimum mask opening wm (red
pyramid) and maximum feature width wf (green delta) quickly approach their target values,
represented by dashed horizontal lines. Some of the trends are correlated to the underlying
adjustments to the parameters defining the etching mechanism, a selection of which are shown in
Figure 6.10c).
191
Figure 6.10: Results from the gradient descent optimization. a) minimal epochal loss. b) values of select metrics as a
function of epochs. c) select tuning parameters as a function of epochs.
The increase in final predicted etch depth, for example, can be explained by changes to the
complex formation probability and its sputter probability both of which increase in tandem with
the increase in final etch depth. However, beyond epoch 20, however, the clear trend towards error
192
minimization breaks down and instabilities both in the model error as well as in the metrics emerge.
This breakdown results from the underlying definition of the loss function.
The step size with which the parameter space is traversed is inherently tied to the size of
the gradient (Eq. (6.6)) and should diminish as one approaches the minimum (zero gradient). L(p)
is derived from predicted profiles produced by the MCFPM which has finite grid resolution – the
dimension of the voxel. As the step size diminishes, producing a small change in the physics
coefficients, the physical change in the predicted profile may not exceed the size of the voxel. At
this point, the loss function is no longer differentiable, as shown in Figure 6.11.
Figure 6.11: The finite resolution of the loss function leads to convergence issues.
This condition can lead to an absence of a gradient when the change in the profile stays
within a single voxel, producing a breakdown of the functional relation between p and L(p) = L =
constant. Another outcome is an overestimation of the gradient when a small change p causes the
The GD method provides rapid initial convergence but is potentially erratic when
approaching the optimum. The behavior of the GD and control of the speed of the initial descent
193
speed is a function of the learning rate η. The evolution of L(p) for different learning rates η =
Figure 6.12: Evolution of the total loss for different learning rates η = 1x10-4, 5x10-4, 1x10-3 and 5x10-3.
The rate of descent is correlated to η – a smaller learning rate leads to smaller step sizes
used to traverse across the parameter space and, consequently, a slower overall descent. Due to the
limitations of the method that result from the erratic differentiation near convergence (an outcome
of the finite voxel size), all optimizations eventually result in a convergence failure, independent
Despite the limitations imposed by the finite difference approach, the GD method has the
ability to overcome local minima (to some degree) while having rapid and controllable initial
descent. This rapid initial convergence is particularly important in minimizing the number of loss
function evaluations and so minimizing computational cost). As a result, one is motivated to retain
the GD method to approach the optimized values, followed by a more robust, though possible more
slowly converging, optimization method. In this work the Nelder-Mead method was selected to
194
6.7 Nelder-Mead Optimization
The Nelder-Mead method is a gradient free optimization algorithm that has been used in a
wide variety of optimization problems [82–88]. Conceptually, the Nelder-Mead (NM) method
represents the tuning parameters as a point in n-dimensional solution space, where n is the number
of tuning parameters to be optimized. A simplex, an object with n+1 vertices, each representing a
different set of parameters, is employed to traverse the solution space through geometric
Figure 6.13: Examples of the geometric transformations of the parameter simplex in a 2D parameter space;
reflection, extension; contraction; shrinkage.
An example scenario is shown in Figure 6.13 with n = 2 – a 2D solution space where the
simplex is a triangle:
195
• Sorting: The points are sorted according to their loss function from best (lowest loss) to
worst (highest loss): L(u) < L(v) < L(w)
• Reflection: Reflect the worst point, w, across the centroid; r = x0 + α(x0 - w), with α > 0.
• Extension: Extend the reflection beyond point r; e = x0 + γ(r - x0), with γ > 1.
• Contraction: Generate two points, c0 and c1, that represent contractions of r towards w;
c0 = x0 + ρ(r - x0) and c0 = x0 + ρ(w - x0) with 0 < ρ < 0.5
• Shrinkage: Shrink the simplex towards the best point; w’ = u + σ(w - u) and v’ = u + σ(v
- u), with 0 < σ < 1
Using these simple geometric transformations, the NM algorithm consists of a specific set
of decisions, which leads to an iterative loop that requires at least one successive evaluation of the
loss function per step and a maximum of 4 per epoch. A flow chart of the Nelder-Mead algorithm
is shown in Figure 6.14. Every epoch begins by sorting the simplex points according to their loss
function value, with L(u) < L(v) < L(w). Reflection is always the first geometric operation to be
tested. If the reflected point, r, is better than the previous second point but worse than the best (
L(u) < L(r) < L(v) ), the reflected point replaces the previously worst point ( w = r ) and the epoch
ends. If r is better than the previously most preferred point ( L(r) < L(u) ) the extension point e is
tested and added to the simplex if it outperforms r ( L(e) < L(r) ). If the reflected point r is worse
than all other simplex points, the contraction is performed. If neither c0 nor c1 have a lower loss
than r, the simplex is shrunk and the next epoch is initiated. This loop continues until the maximum
number of iterations is reached or the specified convergence has been reached. Since the individual
parameters represent physical quantities, additional constraints can be placed on the values of the
parameters. For example, sputter yields less than zero or reaction probabilities greater than one
196
Figure 6.14: Flow chart describing the Nelder-Mead optimization algorithm.
Since NM is a direct search method (only relies on direct evaluations of the loss function
itself), NM does not suffer from the same issues that arise with GD which relies on discrete
197
technically not formally guaranteed, from a practical perspective NM does nearly always
converge. This convergence results from the finite grid size. The loss function effectively becomes
insensitive to perturbations below a certain threshold. Once the optimization process switches from
The use of NM as the only convergence method is somewhat vulnerable to the presence of
local minima. That is, NM may converge around a non-global minimum. This propensity for local
convergence increases for higher order problems as the size of the parameter space increases. This
tendency for local convergence is greatly reduced by initializing the first simplex in the vicinity of
the absolute minimum as provided by the initial GD method. That is, the first simplex is not
initialized at a random location. As a result, the NM portion of the optimization does not need to
sample complete coverage of the parameter space nor conduct an exhaustive search has to be
required. For our conditions, the sequential GD-NM approach minimized the computational load
by reducing the number of loss function evaluations compared to random scatter initialization or
whose goal is to address the weakness of each singular approach while capturing their positive
qualities. The convergence and output parameters produced by the hybrid optimization scheme are
shown in Figure 6.15 as a function of epoch for the same conditions as Figure 6.10 a) minimum
198
Figure 6.15: Results from the combined gradient descent and Nelder-Mead optimization. a) minimal epochal loss. b)
values of select metrics as a function of epochs. c) select model parameters as a function of epochs.
199
The evolution of the total model error has 3 stages. The first stage, I, consists of the initial
rapid descent and nearly monotonic reduction of the loss function, enabled by the gradient descent
with an appropriate learning rate. Starting at approximately epoch 20, stage II suffers from
convergence instabilities which is reflected in fluctuations in the target metrics (Figure 6.15b) and
model parameters (Figure 6.15c). At epoch 100, stage III, the gradient descent is terminated and
based on its results, the NM algorithm is initiated. The fluctuations are rapidly dampened, and a
stable convergence commences. The error drops to approximately 3x10 -3 which given the grid
resolution is on the order of a single cell or less. This minimization in error is mirrored in the target
metrics (Figure 6.15b) which converge to the desired values. The final parameter set is listed in
Table 6.5.
The definition of model physics parameters based on this optimizer algorithm is based on
the reduced scalar representation of the feature. The method will not capture effects that are not
included in the target metrics. For example, select features produced by MCFPM after the full etch
process are shown in Figure 6.16 as a function of epochal progression. (These features are
predictions at the end of the full etch period for a particular set of model parameters corresponding
to an epoch. The sequence of features is not the temporal evolution of the feature itself). The SEM
from which the target metrics were extracted is also shown (grayscale).
200
Figure 6.16: Final features after etch completion for different parameter sets as a function of epochal evolution and
the actual experimental target feature.
Here, too, the optimization stages can be identified. During epochs 0 – 20, belonging to
stage I (initial descent), the monotonic behavior is reflected in the increasing etch depth and
widening of the necking. The increased etch rate directly correlates with increasing oxide-polymer
complex formation, its removal and increases in the SiO2 sputter rate. The decreased polymer
deposition at the top of the mask is a consequence of the increasing polymer etch by oxygen.
Stage II (instabilities) produces erratic behavior in the predicted profiles with an absence
of clear trends. Between epochs 20 and 100 the etch depth and polymer deposition vary seemingly
randomly without clear trends. With stage III and the change to the Nelder-Mead algorithm for
epochs 100-200 mechanism converges, with a lack of non-monotonic evolution of the metrics.
201
Overall, the final simulated etch feature at epoch 200 is in good agreement with the experimental
counterpart in terms of the metrics used in the optimization process. There are, however, some key
differences between the predicted profiles and the experimental SEM. For example, there are
differences in the vertical position of the minimum in necking and the taper of the mask. The
mismatch in these properties is due to the fact that they were not part of the optimization metrics.
Assuming that the optimization process produced good model parameters, these differences
indicate might that a) the mechanism lacks processes that would otherwise determine necking
location or taper, b) the non-optimized physics parameters are not accurate and/or c) the solution
is not unique and a second solution might better capture these phenomena. These results stress the
importance of including metrics that address the most critical properties of the features in the
optimization process, as well as the physics in the reaction mechanism that correlate to those
properties. For example, taper of the feature is known to be sensitive to the chemical sputtering
probability as a function of angle of incidence of energetic particles. This physics parameter was
included in our mechanism but was not part of the optimization process.
In this context, metrics and the optimization processes are as a whole coupled, requiring at
least a partial simultaneous evaluation. That is, independently optimizing each metric will not
produce the desired result. This coupling can be demonstrated by the relationship between necking
and clogging and feature evolution. Necking or, in the extreme, clogging reduces or entirely
impedes the transport of reactive species and ions into the feature, slowing the etch process. In the
event of clogging, the etch process is stopped which prevents evaluation and optimization of the
etch process as a whole. Since in this work metrics are only derived from the final feature, there is
no mechanism to differentiate between two fully clogged features based on the mask metrics alone.
The width of mask opening is wm = 0 in both cases even if the rate of the deposition were different
202
and the clogging occurred at different times during the etch. However, differences in rates of, for
example, deposition can affect the time during which the feature is not clogged, which translates
to differences in total etch depth. Through this mechanism, the etch depth acts as a secondary
metric for the clogging mechanism, without which prior attempts to optimize the isolated mask
mechanism failed.
mechanism that reproduces the desired feature with high accuracy. However, aspects of the process
have potential for improvement. The epoch at which switching between GD and NM methods
should be carefully chosen. Epochs spent in Stage II produce little additional information and are
not a good use of computing resources. Such hybrid optimization schemes should include a
mechanism or criterion to identify convergence failure of the GD and automatically switch to the
secondary algorithm. A careful choice of the needed convergence would also minimize the number
A test of whether the derived model parameters are physically relevant is to evaluate their
transferability to related processes. Given the small sample set, overfitting or circumstantial
unphysical results are of major concern. The term related processes was used deliberately. The
parameters that have been derived from the optimization process are physics parameters that
should, in principle, apply to a wide range of process conditions. However, there is a practical
limit. For example, introduction of new gases or new method of excitation (inductively coupled vs
capacitively coupled) would likely exceed that limit or require additional parameters in the
optimization process.
203
6.9.1 Variation of O2 in Flow
To test the applicability of the derived model parameters, a process with different input
power (Plf = 6.0 kW and Phf = 2.5 kW) was simulated with differing oxygen to fluorocarbon
feedstock gas ratios (O2/C4F6 = 0.5, 1, 1.5 and 2.5). All other conditions were kept the same as the
base case. The most significant changes compared to the base case were in the particle fluxes
incident on the wafer surface, which are shown as function of the feed gas ratio in Figure 6.17.
Figure 6.17: Fluxes incident on the wafer as a function of the O2/C4F6 feedstock gas ratio.
The variation in the O2/C4F6 ratio was achieved, experimentally and in the simulation, by
adjusting the oxygen inflow only. As a consequence, the fluxes of the major contributing
fluorocarbons remain nearly constant. The O flux increases from 4.1 x 1016 to 1.5 x 1017 cm-2s-1, a
factor of 3.6, with increase of the oxygen inflow by factor of 5. These trends indicate that mole
fractions of feedstock gases do not translate one-to-one to the surface fluxes. The features
generated by MCFPM and their experimental counterparts are shown in Figure 6.18.
204
Figure 6.18: a) MCFPM features resulting from the O2/C4F6 variation. b) SEM images of the features resulting from
the corresponding experiment.
205
Although the reaction mechanism used in MCFPM was not specifically tuned for these
process conditions, relevant trends were qualitatively reproduced qualitatively. Trends in polymer
deposition on the mask are reproduced. At low O2 fraction and low O-flux, the O based etching of
deposited polymer is low which leads to full clogging of the feature in both the experiment and
simulation. With increasing O2 the rate at which polymer is removed increases and the necking is
The lack of polymer film on the mask leads to increased mask erosion with increasing O 2
inflow. The amount of mask erosion in the simulated features is not in quantitative agreement with
the experimental results. This lack of quantitative agreement is likely due to not having the
necessary physical processes in the mechanism despite not being specifically required for the
initial optimization process. For example, direct oxidation of the AC mask by O2 was not included
in the mechanism but may become important at larger O2 flow rates. The etch depth is related to
the mask necking through reduction in particle transport into the feature and through etch stop with
full clogging. The full clogging of the feature for O2/C4F6 = 0.5 is reproduced by the simulation,
however, etch depth for the fully clogged feature is not reproduced as this depth depends on when
the feature was clogged. The experiments show maximum etch depth for O2/C4F6 = 1.5, which is
not the case for the simulation. Overall, however, the predicted trends generally agree with
experiments, which is not necessarily the expectation given the fact that the mechanism was tuned
for only a single different process. The emergence of these untrained trends is a consequence of
using a ‘physics informed’ optimization approach where the derived parameters are physics
parameters and not simply fitting parameters. These trends also rely on the fluxes produced by the
HPEM being truth and accurately representing experimental fluxes, which adds additional
206
6.9.2 Variation of Low Frequency Power
As second test of the model parameters derived from the optimization process was
prediction of feature profiles while varying the low frequency power, P lf = 0, 4, 6 and 8 kW.
Low frequency power is often used to control the ion energy at the wafer surface. IEADs onto the
Figure 6.19: IEADs resulting from the Plf variation with Plf = 0, 4, 6 and 8 kW.
The IEADs produced by HPEM for this range of Plf are shown in Figure 6.19 with there
being direct correlation between Plf and the mean and maximum energies of ions at the wafer.
While the IEAD for Plf = 0 kW has the lowest energies, with electrons exceeding 500 eV the high
frequency RF power alone nevertheless produces high energy ions. The maximum angular spread
has little variation as a function of Plf, with the exception of Plf = 0 kW which has significant
207
broadening of the angular distribution. Using the derived model parameters, MCFPM simulations
were performed for the different values of Plf = 0. Those results and the experimental SEM
Figure 6.20: a) MCFPM features resulting from the Plf variation. b) SEM images of the features resulting from the
corresponding experiment.
208
The profiles, experiment and simulation, for Plf = 0 kW produce total clogging of the mask
opening, indicating that ion energy plays an important role in removing excess polymer. The
remaining cases (Plf = 4, 6 and 8 kW) have unclogged features and full etching with unexpected
8 kW) produces few differences in the final features. These trends indicate that above a certain
threshold energy the etch progression and the mask removal process are not ion starved, but rather
limited by neutral gas transport. To some degree this trend is reproduced by the simulations where
etch depth does increase with increasing low frequency power however the rate of increase is
substantially sublinear. These outcomes indicate that the effect of ion energy (for example in
sputter yield or related processes) might be overestimated in the mechanism. Again, this assumes
Because Monte Carlo based simulations are inherently subject to statistical variations, the
fact that the loss function is based on a single feature’s geometry can result in overfitting and
misinterpretation of the target metrics. To partially mitigate and roughly estimate the level of
overfitting, instead of matching to a single features etch profile, the optimization was performed
209
Figure 6.21: Feature scale simulation setup consisting of a SiO2 substrate with an amorphous carbon mask and four
separate features in a regular grid.
The individual features are Identical to those used earlier in this work and the distance
between them is 40 nm. Using this geometry, the total loss is set to be the average of the individual
features. Because the particles launched from the top of the simulation domain are launched based
on a shared random number pool, this effectively induces statistical variation between the features.
Apart from the changes in feature geometry, the optimization setup remains unchanged. Analogous
to the results depicted in Section 6.8, the epochal evolution of the loss function is depicted in
Figure 6.22a. As with the single feature optimization, 3 distinct stages in the optimization can be
identified. The initial descent (Stage I) seems to commence more rapidly, despite identical learning
rates. This might be the result of the result of the induced statistical variation that, to a degree,
remedies some of the initial overfitting to statistical noise and enables a smoother gradient descent.
While the convergence failure (Stage II) still occurs, the random oscillation of the loss seems to
210
be significantly dampened compared to Figure 6.15a. This is likely due to the fact that the incorrect
gradient calculations are partially negated, as they are unlikely to occur in all features at the same
time. The final convergence (Stage III), too, is similar to the single feature optimization in that it
rapidly converges to a stable value and although the final loss is slightly larger, it is still on the
order of a single cell. This behavior is confirmed by considering the average of the metrics. Shown
in Figure 6.22b. All considered metrics reach very good final parity with the respective targets
while their initial approach is faster and the intermediate non-convergence oscillations are less
erratic and of smaller magnitude. The same set of tuning parameters is shown in Figure 6.22c. and
while their temporal evolution is very different from that observed in the single feature case the
final values are very close. The final values of the feature grid-based simulation are listed in Table
6.6.
Table 6.6: Final tuning parameters after coupled optimization of feature grid.
The features produced as a function of epoch are depicted in Figure 6.23. As with the single
feature optimization the initial mechanism produces clogged features, caused by insufficient
polymer etching, which leads to an early etch stop. With progressing epochs (left to right in Figure
6.23), the net polymer deposition is reduced and the etch rate and etch depth increased. At epoch
100, immediately before stage III, the scalar metrics (Figure 6.22b) and 2d feature representation
both indicate a good match in etch depth but excessive feature bowing that is effectively removed
211
Figure 6.22: Results of the feature array optimization. a) minimal epochal loss. b) values of select metrics as a
function of epochs. c) select model parameters as a function of epochs.
212
Figure 6.23: Final feature grids after etch completion for different parameter sets as a function of epochal evolution
and the actual experimental target feature.
While these findings indicate that the optimization based on feature grids is generally
preferable to that using only a single feature, these improvements must be weighed against the
increase in computational cost that is incurred by the larger geometry and subsequent increase in
total cells. A detailed investigation of this relation could be of considerable interest but is beyond
213
6.11 Concluding Remarks
physical parameters in a reaction mechanism that is used in profile simulations of a high aspect
ratio etch into a SiO2 substrate using a C4F6/Ar/O2 gas mixture. The process is performed in a dual
frequency capacitively coupled plasma reactor with independently controlled high frequency and
low frequency powers applied to the bottom electrode. The employed optimization scheme
algorithm. Doing so allowed taking advantage of some of the respective desirable qualities while
at least partially negating some of the respective drawbacks. The fast, initial descent of the GD
method was maintained while its inevitable instability was dampened by the successive NM
process. The mechanism was matched to best represent geometric properties of the final etched
feature extracted from scanning electron microscopy images. Using appropriate target metrics, the
optimization produced a mechanism that was able to reproduce the experimental reference with
Outside the training parameter space using different gas compositions and power, the
mechanism captured relevant trends. In a variation of the O2/C4F6 ratio it reproduced feature
clogging onsets and, to a lesser degree, necking and mask erosion trends. Similarly, a variation of
the low frequency power produced a comparable onset of clogging at low enough powers which
was also captured by the mechanism. Trends in feature etch rate (or lack thereof) are reproduced
to a lesser degree.
Overall, the presented approach shows promising potential in that with a very
limited set of data, just a single SEM image, it was able to reproduce a good match to the training
target and was able to reproduce some relevant trends outside of its immediate training regime.
214
This is thanks to the ‘physics informed’ optimization approach which, in this given scenario,
employed a base mechanism that already contained explicit formulations of the relevant physical
processes and was thus conceptually more universal than the singular case to which it was matched.
Despite the given encouraging results this should only be considered a proof of concept as
many details of the optimization scheme still require improvement. This includes a more effective
criterion for the algorithm switching as well as a robust formulation to detect convergence and
worthwhile improvement would be the expansion of the metrics to include not only a single
process condition but rather match to one or more parametric sweeps. Alternatively, the inclusion
of multiple different etch times per process condition could proof useful to include data about the
temporal feature evolution and, since the data set is effectively increased, partially mitigate
overfitting which is of great concern whenever only a small set of data is used.
215
6.12 References
[1] V. M. Donnelly and A. Kornblit, Journal of Vacuum Science & Technology A: Vacuum,
[3] G. Packard, A. Rosenfeld, G. S. Oehrlein and S. Hamaguchi, Plasma Sources Science and
[4] Y. Suzuki, S. Iwashita, T. Sato, H. Yonemichi, H. Moki and T. Moriya, 2018 International
Bude, X. Zhang, et al., IEEE Transactions on Plasma Science 51, 1750 (2023).
[6] A. Sankaran and M. J. Kushner, Journal of Vacuum Science & Technology A 22, 1242
(2004).
Science & Technology A: Vacuum, Surfaces, and Films 35, 05C301 (2017).
216
[9] T. Iwase, Y. Kamaji, S. Y. Kang, K. Koga, N. Kuboi, M. Nakamura, N. Negishi, T. Nozaki,
[12] M. Chopra, S. Helpert, R. Verma, Z. Zhang, X. Zhu, al Meghali Chopra and R. Bonnecaze,
[13] T. Shimada, T. Yagisawa and T. Makabe, Japanese Journal of Applied Physics, Part 2:
S. Shin, D. H. Yu and Y. H. Im, Journal of Physics D: Applied Physics 55, 255202 (2022).
[15] H. Tsuda, Y. Takao, K. Eriguchi and K. Ono, Japanese Journal of Applied Physics 51,
08HC01 (2012).
[16] S. Huang, C. Huard, S. Shim, S. K. Nam, I.-C. Song, S. Lu and M. J. Kushner, Journal of
of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35, 031306
(2017).
[18] H. Tsuda, K. Eriguchi, K. Ono and H. Ohta, Applied Physics Express 2, 116501 (2009).
[19] N. A. Mauchamp and S. Hamaguchi, Journal of Vacuum Science & Technology A 40,
53004 (2022).
217
[20] C. M. Huard, S. Sriraman, A. Paterson and M. J. Kushner, Journal of Vacuum Science &
[21] C. Qu, Y. Sakiyama, P. Agarwal and M. J. Kushner, Journal of Vacuum Science &
[25] A. Mesbah and D. B. Graves, Journal of Physics D: Applied Physics 52, 30LT02 (2019).
Bude, X. Zhang, et al., IEEE Transactions on Plasma Science 51, 1750 (2023).
[29] D. Gidon, D. B. Graves and A. Mesbah, Plasma Sources Science and Technology 26,
085005 (2017).
218
[32] D. Stokes and G. S. May, IEEE Transactions on Semiconductor Manufacturing 13, 469
(2000).
[33] J. H. Xia, Rusli and A. S. Kumta, IEEE Transactions on Plasma Science 38, 142 (2010).
[34] W. Q. Xiong, Y. Qiao, L. P. Bai, M. Ghahramani, N. Q. Wu, P. H. Hsieh and B. Liu, IEEE
[35] J. H. Xia, Rusli and A. Kumta, IEEE Transactions on Plasma Science 38, 1091 (2010).
[36] C. E. Davis and G. S. May, IEEE Transactions on Electronics Packaging Manufacturing 31,
104 (2008).
[38] T. Gergs, B. Borislavov and J. Trieschmann, Journal of Vacuum Science & Technology B
[39] G. Dong, X. Wei, J. Bao, G. Brochard, Z. Lin and W. Tang, Nuclear Fusion 61, 126061
(2021).
[40] S. Morosohk and E. Schuster, Contributions to Plasma Physics 63, e202200153 (2023).
[41] C. Ma, B. Zhu, X. Q. Xu and W. Wang, Physics of Plasmas 27, 42502 (2020).
Pylypenko, Y. Liu, C. Akcay, L. L. Lao and X. Sun, Nuclear Fusion 62, 126067 (2022).
[45] S. Dasbach and S. Wiesen, Nuclear Materials and Energy 34, 101396 (2023).
219
[46] Y. Kim, S. Lee, T. Jung, B. Lee, N. Kwak and al Yongjin Kim,
[47] Y. Yang and Y. Xu, Journal of Vacuum Science & Technology B 41, 52602 (2023).
M. Sekine and M. Hori, Japanese Journal of Applied Physics 58, SEEB02 (2019).
[50] B. Wu, A. Kumar and S. Pamarthy, Journal of Applied Physics 108, 51101 (2010).
[51] S. Huang, S. Shim, S. K. Nam and M. J. Kushner, Journal of Vacuum Science & Technology
[52] Z. Hu, H. Shao, J. Li, P. Lai, W. Wang, C. Li, Q. Yan, X. He, J. Li, T. Yang, R. Chen and
Karner and L. Filipovic, Micromachines 2023, Vol. 14, Page 665 14, 665 (2023).
[55] W. Park, J. Han, S. Park and S. Y. Moon, Vacuum 216, 112466 (2023).
[56] X. Xiao, X. Ke, B. Su and H.-Y. Zhang, ECS Transactions 104, 201 (2021).
015206 (2021).
220
[61] Y. Xing, M. A. Gosálvez, K. Sato, M. Tian and H. Yi, Journal of Micromechanics and
[62] M. A. Goslvez, N. Ferrando, Y. Xing, P. Pal, K. Sato, J. Cerdá and R. Gadea, Journal of
[63] Y. Li, Y. Xing, M. A. Gosalvez, P. Pal and Y. Zhou, 2013 Transducers and Eurosensors
(2020).
[65] T. Xiao and D. Ni, Processes 2021, Vol. 9, Page 151 9, 151 (2021).
[67] M. Jalalitabar, I. G. Tsoulos, Y. Tian, Y. Zhang and H. Zhang, Mathematics 2023, Vol. 11,
[68] L. ; Zhou, X. ; Zhou, C. A. Yi, L. Zhou, X. Zhou and C. Yi, Electronics 2023, Vol. 12, Page
[69] S. Takenaga, Y. Ozaki and M. Onishi, Optimization Letters 17, 283 (2023).
[70] Z. Huang, S. Yan, M. Rosenbusch, S. Yan and Z. Huang, Authorea Preprints (2023).
[72] T. Piskin, Y. Qian, P. Pribyl, W. Gekelman and M. J. Kushner, Journal of Applied Physics
221
[73] C. Qu, S. J. Lanham, S. C. Shannon, S. K. Nam and M. J. Kushner, Journal of Applied
[74] D. Zhang, S. Rauf and T. Sparks, IEEE Transactions on Plasma Science 30, 114 (2002).
[77] H. J. M. Shi, M. Qiming Xuan, F. Oztoprak and J. Nocedal, Optimization Methods and
[78] E. J. Paul, M. Landreman and T. Antonsen, Journal of Plasma Physics 87, 905870214
(2021).
[79] D. Shin and S. J. Hong, Journal of Vacuum Science & Technology B 41, 64002 (2023).
[84] I. Fajfar, Á. Bűrmen and J. Puhan, Optimization Letters 13, 1011 (2019).
[85] C. Audet and C. Tribes, Computational Optimization and Applications 71, 331 (2018).
[86] M. A. Luersen and R. Le Riche, Computers & Structures 82, 2251 (2004).
[87] Y. Ozaki, M. Yano and M. Onishi, IPSJ Transactions on Computer Vision and Applications
9, 1 (2017).
222
[88] Y. Lee, A. Resiga, S. Yi and C. Wern, Journal of Manufacturing and Materials Processing
[89] E. Zahara and Y. T. Kao, Expert Systems with Applications 36, 3880 (2009).
A. F. Voter, C. L. Liu and D. G. Coronell, Journal of Vacuum Science & Technology A 17,
2819 (1999).
[91] J. P. Chang and H. H. Sawin, Journal of Vacuum Science & Technology A 15, 610 (1997).
223
Chapter 7 Summary and Outlook
7.1 Summary
etching of semiconductors was given. This included basic plasma and surface etch phenomena as
well as specific applications such as CCPs powered by tailored voltage waveforms operated in
manufacturing and the narrow subject of this thesis was given. Finally, the role of computational
modeling as well as current challenges with respect to the fundamental data availability was
In Chapter 2, the computational models utilized in this work were introduced. The Hybrid
Plasmas Equipment Model, HPEM, was used to simulate the gas phase as well as discharge
dynamics and collect the magnitude as well as energy and angular distributions of fluxes to the
wafer surface. These fluxes were used as input for the surface simulations using the Monte Carlo
Feature Profile Model, MCFPM, which determines the temporal evolution of the surface during
with the goal of shaping the EAD of electrons and ions incident onto the substrate to address
differential charging. The tailored waveform consisted of a sinusoidal wave and its higher
harmonics with a fundamental frequency of 1 MHz. It was found that electric field reversals in the
224
sheath and presheath can occur during the anodic portion of the cycle. The electric field reversal
increases the energy and decreases the angular spread of electrons incident onto the substrate. The
magnitude of the electric field reversal can be controlled by the phase angle of the even harmonics
and the gas composition. Due to its electronegative nature, increasing mole fractions of O2 impedes
electron transport to the surface which further increases the electric field reversal.
In Chapter 4, the previous results were expanded upon by investigating the plasma etching
of HAR features into SiO2 in a similar geometry and power delivery configuration but using a
Ar/CF4/O2 mixture. It was similarly found that some degree of control of the IEADs and EEADs
is possible by adjusting the phase of higher harmonics φ through the resulting generation of
electrical asymmetry and electric field reversal. However, the IEADs and EEADs cannot easily be
separately controlled. The control of IEADs and EEADs are inherently linked. The highest quality
feature was obtained with a phase angle φ = 0° as this value generated the largest (most negative)
DC self-bias and largest electric field reversal for accelerating electrons into the feature. That said,
the consequences of voltage waveform tailoring (VWT) on etched features was dominated by the
change in the IEADs. Although VWT does produce EEADs with higher energy and narrower
angular spread, the effect of these electrons on the feature compared to thermal electrons is not
large. This smaller impact of VWT produced EEADs is attributed to thermal electrons being
accelerated into the feature by electric fields produced by the positive in-feature charging.
In Chapter 5, the relation between ion energy and DC self-bias was investigated in the
Ar/CF4/O2 and how those trends translate to a high aspect ratio etching of trenches in SiO2. f0, was
varied from 1 MHz to 10 MHz, and the relative phase from 0 to 180°. Two distinct regimes were
identified. Average ion energy onto the wafer is strongly correlated to the DC self-bias at high f0,
225
with there being a maximum at φ = 0° and minimum at φ = 180°. In the low frequency regime this
correlation is weak. Average ion energy onto the wafer is instead dominated by dynamic transients
in the applied voltage waveforms, with a maximum at φ = 180° and minimum at φ = 0°. The trends
in ion energy translate to etch properties. In both the high and low frequency regimes, higher ion
energies translate to higher etch rates and generally preferable final features, though behaving
introduced to address the issue of data availability and accurate reproduction of physical etch
mechanism for feature scale SiO2 plasma etching using a fluorocarbon gas mixture was discussed.
This was done by matching predictions of etch profiles, obtained from MCFPM simulations to
experimental data using a gradient descent / Nelder-Mead method hybrid optimization scheme.
These methods produce a reaction mechanism that replicates the experimental training data as well
While this thesis has presented promising evidence to highlight the upside potential for
required to solidify these observations. It would be instructive to expand upon this work by
broadening the parameter space in terms of pressure, power, reactor geometry, and gas
composition. The use of VWT was aimed at improving etch dynamics in Fluorocarbon etching of
SiO2, but the gained insights could potentially translate to other related systems in which the
control of electron and ion EADs is of great importance. This may include similar etch processes
226
The use of tailored voltage waveforms has been intensely studied using a host of theoretical
and computational approaches. While many of these have shown great promise with respect to the
possible their technological applications, many challenges remain with respect to a widespread use
of this or related techniques. One of these challenges is the adequate matching of these complex
voltages which is only further compounded by recent trends to very high powers that limit the
Conceptually, the use of complex waveforms is not limited to planar CCPs as presented in
this work. Since many other kinds of technological plasmas rely on RF power coupling as a source
host of different plasma sources and operating regimes. In closely related systems, like for example
inductively coupled plasmas with RF biases, similar behavior when non sinusoidal waveforms are
applied, could open up analogues avenues for process control. However, this may not be true for
other types of discharges that operate in entirely different regimes with respect to the density and
temperature of the plasma as well as neutral feedstock gas. So, while it is unlikely that the same
working principles produce identical effects, it is nevertheless possible that voltage waveform
tailoring can produce significant effects in these systems as well that warrant further investigations.
The optimization scheme presented in Chapter 6 should at this stage be considered a proof
The target metrics used in this work were able to represent certain aspects of the etch
simulation with high accuracy but were lacking in others. The optimization scheme would greatly
benefit from the inclusion of metrics that better capture the mask necking and the geometry in the
lower echelons of the feature such as micro trenches and flatness of the etch front. The metrics
considered in this work were based on a 2-dimensional representation of a vertical slice through
227
the feature. It might prove useful to implement 3-dimensional target metrics such as symmetry
along the y-axis (normal to the current viewing plane) or changes in feature circularity, where
applicable.
For the optimization itself the testing and direct comparison of other algorithms might
the formulation and implementation of a rigorous convergence criterion will likely prove very
Finally, the optimization of the surface reaction mechanism has relied on the assumption
that the HPEM data accurately represents the physical ground truth. To some degree, HPEM is
subject to the same fundamental issues with respect to its parameterized data and imperfect
benchmarking to experiments. Thus, a natural progression of this work could be the extensions of
a similar optimization scheme to include gas phase reaction mechanisms and tune it using HPEM
228
Appendices
The Monte-Carlo method employed in the MCFPM produces profiles that are inherently
subject to statistical variations. This is especially the case when charging processes are included
which can act as a feedback loop which amplifies statistical variations. These variations result
from the sequence of random numbers that are used to initially generate particle trajectories
towards the surface. Quantitatively different features are produced by changing the seed that is
used to initialize the random number generators. Even when keeping the same seeds, when
executing the simulation in a parallel computing environment, there are nearly unavoidable
random number generators are used for each parallel thread to minimize these sequencing issues,
To ensure the overall validity of results produced when statistical variations occur, it is
imperative to verify that the random run-to-run variations are of significantly smaller magnitude
than the effects discussed. This includes the overall trends in feature properties as a function of
phase angle φ as well as the isolated effects produced by surface charge neutralization by the
229
To assess the statistical variations, a series of identical simulations was performed while
varying the random number seed. These cases also include the inherent statistical variations that
occur in the parallel computing environment. The resulting profiles for the φ = 0°, PVWT = 1000 W
series are shown in Figure A.0.1a for 15 minutes of etching. The maximum difference from the
mean etch depth for this series is less than 5% of the total etch. This variation is less than the
change in etch depth and profile shape produced by the change in IEADs as a function of φ
observed in this work. Similar conclusions extend to variation between the profiles produced with
Statistical variations of this type are not limited to numerical simulations but also occur in
statistical feature-to-feature variation results from the small size of features, which in turn produces
statistically different fluxes of reactant species into the feature. A common approach to remedy
or etch stop layer is located at the desired final etch depth. For any given process, the etch rate into
this layer is significantly lower than for the overlying substrate. This means that once the stop layer
is reached during the process, vertical etching effectively stops (or is significantly slowed) while
etching of the tapered side walls continues. Extending the etch process beyond this contact time,
over-etching, partially remedies some of the statistical variations in feature profile by straightening
the sidewalls of the feature. A series of profiles are shown in Figure A.0.1b produced with different
random number seeds, analogous to Figure A.0.1a, while etching to the stop layer and over-etching
for a total of 30 minutes. Through this over-etching, the variations in etch depth and profile are
reduced. Where applicable, etch stop layers and over-etching can suppress the statistical run to run
230
Figure A.0.1: Statistical run-to-run variation of the final etch profiles for the PVWT = 1000 W and φ = 0° case. a) 15
min run and b) 30 min to produce over etch into the stop layer. For each series of profiles, each case had a different
seed for the random number generators, in addition to having the inherent statistical variations in the parallel
computing environment.
231
Appendix B: Surface Reaction Mechanism
Table B.0.1 contains the surface reaction mechanism used in this work after convergence.
The reaction probability p0 is modified according to Eq. (2.40) if angular or energy dependence
of the reaction is present. In that case, th , 0 and q define the energy dependence and ∠ defines
the nature of the angular dependence, with ∠=1 corresponding to the results obtained by [1] and
If no values for p0 , 0 , th n and ∠ are provided, the reaction has not energy or angular
dependence. The superscripts ‘+’, ‘#’ and ‘*’ identify positive ions, hot neutrals and exited species,
respectively.
The subscript ‘(s)’ refers to a surface species, while ‘(xs)’ is a crosslinked surface species.
Not listed below are surface neutralization reactions that occur for every charge species. EP is a
generic etch product that is assumed to be inert and not tracked further.
Reaction p0 th n 0 ∠
CF(s) + CF → CF(s) + CF(s) 0.1
CF(s) + CF2 → CF(s) + CF2(s) 0.1
CF(s) + CF3 → CF(s) + CF3(s) 0.1
CF(s) + C2F3 → CF(s) + C2F3(s) 0.1
CF2(s) + CF → CF2(s) + CF(s) 0.1
CF2(s) + CF2 → CF2(s) + CF2(s) 0.1
CF2(s) + CF3 → CF2(s) + CF3(s) 0.1
CF2(s) + C2F3 → CF2(s) + C2F3(s) 0.1
CF3(s) + CF → CF3(s) + CF(s) 0.1
232
CF3(s) + CF2 → CF3(s) + CF2(s) 0.1
CF3(s) + CF3 → CF3(s) + CF3(s) 0.1
CF3(s) + C2F3 → CF3(s) + C2F3(s) 0.1
C2F3(s) + CF → C2F3(s) + CF(s) 0.03
C2F3(s) + CF2 → C2F3(s) + CF2(s) 0.03
C2F3(s) + CF3 → C2F3(s) + CF3(s) 0.03
C2F3(s) + C2F3 → C2F3(s) + C2F3(s) 0.03
AC(s) + CF → AC(s) + CF(s) 0.2
AC(s) + CF2 → AC(s) + CF2(s) 0.2
AC(s) + CF3 → AC(s) + CF3(s) 0.2
AC(s) + C2F3 → AC(s) + C2F3(s) 0.2
AC(xs) + CF → AC(xs) + CF(s) 0.2
AC(xs) + CF2 → AC(xs) + CF2(s) 0.2
AC(xs) + CF3 → AC(xs) + CF3(s) 0.2
AC(xs) + C2F3 → AC(xs) + C2F3(s) 0.2
CF(xs) + CF → CF(xs) + CF(s) 0.02
CF(xs) + CF2 → CF(xs) + CF2(s) 0.02
CF(xs) + CF3 → CF(xs) + CF3(s) 0.02
CF(xs) + C2F3 → CF(xs) + C2F3(s) 0.02
CF2(xs) + CF → CF2(xs) + CF(s) 0.02
CF2(xs) + CF2 → CF2(xs) + CF2(s) 0.02
CF2(xs) + CF3 → CF2(xs) + CF3(s) 0.02
CF2(xs) + C2F3 → CF2(xs) + C2F3(s) 0.02
CF3(xs) + CF → CF3(xs) + CF(s) 0.02
CF3(xs) + CF2 → CF3(xs) + CF2(s) 0.02
CF3(xs) + CF3 → CF3(xs) + CF3(s) 0.02
CF3(xs) + C2F3 → CF3(xs) + C2F3(s) 0.02
CF(s) +O → EP 0.0423
CF2(s) +O → EP 0.0423
CF3(s) +O → EP 0.0423
C2F3(s) +O → EP 0.0423
CF(xs) +O → EP 0.0423
CF2(xs) +O → EP 0.0423
CF3(xs) +O → EP 0.0423
AC(s) +O → CO 1.00E-05
AC(xs) +O → CO 1.00E-05
AC(s) + Ar+ → C + Ar# 0.001 200 0.4 250 1
AC(s) + F2+ → C + F2# 0.001 200 0.4 250 1
AC(s) + F+ → C + F# 0.001 200 0.4 250 1
AC(s) + O2+ → C + O2# 0.001 200 0.4 250 1
AC(s) + O+ → C + O# 0.001 200 0.4 250 1
233
AC(s) + CF3+ → C + CF3# 0.001 200 0.4 250 1
AC(s) + CF2+ → C + CF2# 0.001 200 0.4 250 1
AC(s) + CF+ → C + CF# 0.001 200 0.4 250 1
AC(s) + C2F3+ → C + C2F3# 0.001 200 0.4 250 1
AC(s) + C2F4+ → C + C2F4# 0.001 200 0.4 250 1
AC(s) + C2F5+ → C + C2F5# 0.001 200 0.4 250 1
AC(s) + C3F5+ → C + C3F5# 0.001 200 0.4 250 1
AC(s) + C3F6+ → C + C3F6# 0.001 200 0.4 250 1
AC(s) + C3F7+ → C + C3F7# 0.001 200 0.4 250 1
AC(s) + C4F7+ → C + C4F7# 0.001 200 0.4 250 1
AC(s) + C4F8+ → C + C4F8# 0.001 200 0.4 250 1
AC(s) + Ar# → C + Ar# 0.001 200 0.4 250 1
AC(s) + F2# → C + F2# 0.001 200 0.4 250 1
AC(s) + F# → C + F# 0.001 200 0.4 250 1
AC(s) + O2# → C + O2# 0.001 200 0.4 250 1
AC(s) + O# → C + O# 0.001 200 0.4 250 1
AC(s) + CF3# → C + CF3# 0.001 200 0.4 250 1
AC(s) + CF2# → C + CF2# 0.001 200 0.4 250 1
AC(s) + CF# → C + CF# 0.001 200 0.4 250 1
AC(s) + C2F3# → C + C2F3# 0.001 200 0.4 250 1
AC(s) + C2F4# → C + C2F4# 0.001 200 0.4 250 1
AC(s) + C2F5# → C + C2F5# 0.001 200 0.4 250 1
AC(s) + C3F5# → C + C3F5# 0.001 200 0.4 250 1
AC(s) + C3F6# → C + C3F6# 0.001 200 0.4 250 1
AC(s) + C3F7# → C + C3F7# 0.001 200 0.4 250 1
AC(s) + C4F7# → C + C4F7# 0.001 200 0.4 250 1
AC(s) + C4F8# → C + C4F8# 0.001 200 0.4 250 1
AC(xs) + Ar+ → C + Ar# 0.001 200 0.4 250 1
AC(xs) + F2+ → C + F2# 0.001 200 0.4 250 1
AC(xs) + F+ → C + F# 0.001 200 0.4 250 1
AC(xs) + O2+ → C + O2# 0.001 200 0.4 250 1
AC(xs) + O+ → C + O# 0.001 200 0.4 250 1
AC(xs) + CF3+ → C + CF3# 0.001 200 0.4 250 1
AC(xs) + CF2+ → C + CF2# 0.001 200 0.4 250 1
AC(xs) + CF+ → C + CF# 0.001 200 0.4 250 1
AC(xs) + C2F3+ → C + C2F3# 0.001 200 0.4 250 1
AC(xs) + C2F4+ → C + C2F4# 0.001 200 0.4 250 1
AC(xs) + C2F5+ → C + C2F5# 0.001 200 0.4 250 1
AC(xs) + C3F5+ → C + C3F5# 0.001 200 0.4 250 1
AC(xs) + C3F6+ → C + C3F6# 0.001 200 0.4 250 1
AC(xs) + C3F7+ → C + C3F7# 0.001 200 0.4 250 1
234
AC(xs) + C4F7+ → C + C4F7# 0.001 200 0.4 250 1
AC(xs) + C4F8+ → C + C4F8# 0.001 200 0.4 250 1
AC(xs) + Ar# → C + Ar# 0.001 200 0.4 250 1
AC(xs) + F2# → C + F2# 0.001 200 0.4 250 1
AC(xs) + F# → C + F# 0.001 200 0.4 250 1
AC(xs) + O2# → C + O2# 0.001 200 0.4 250 1
AC(xs) + O# → C + O# 0.001 200 0.4 250 1
AC(xs) + CF3# → C + CF3# 0.001 200 0.4 250 1
AC(xs) + CF2# → C + CF2# 0.001 200 0.4 250 1
AC(xs) + CF# → C + CF# 0.001 200 0.4 250 1
AC(xs) + C2F3# → C + C2F3# 0.001 200 0.4 250 1
AC(xs) + C2F4# → C + C2F4# 0.001 200 0.4 250 1
AC(xs) + C2F5# → C + C2F5# 0.001 200 0.4 250 1
AC(xs) + C3F5# → C + C3F5# 0.001 200 0.4 250 1
AC(xs) + C3F6# → C + C3F6# 0.001 200 0.4 250 1
AC(xs) + C3F7# → C + C3F7# 0.001 200 0.4 250 1
AC(xs) + C4F7# → C + C4F7# 0.001 200 0.4 250 1
AC(xs) + C4F8# → C + C4F8# 0.001 200 0.4 250 1
CF(s) + Ar+ → EP + Ar# 0.9 20 0.5 500 1
CF(s) + Ar+ → AC(s) +F + Ar# 0.01 20 0.5 500 1
CF(s) + Ar# → EP + Ar# 0.9 20 0.5 500 1
CF(s) + Ar# → AC(s) +F + Ar# 0.01 20 0.5 500 1
CF(s) + CF3+ → EP + CF3# 0.9 20 0.5 500 1
CF(s) + CF3+ → AC(s) +F + CF3# 0.01 20 0.5 500 1
CF(s) + CF3# → EP + CF3# 0.9 20 0.5 500 1
CF(s) + CF3# → AC(s) +F + CF3# 0.01 20 0.5 500 1
CF(s) + CF2+ → EP + CF2# 0.9 20 0.5 500 1
CF(s) + CF2+ → AC(s) +F + CF2# 0.01 20 0.5 500 1
CF(s) + CF2# → EP + CF2# 0.9 20 0.5 500 1
CF(s) + CF2# → AC(s) +F + CF2# 0.01 20 0.5 500 1
CF(s) + CF+ → EP + CF# 0.9 20 0.5 500 1
CF(s) + CF+ → AC(s) +F + CF# 0.01 20 0.5 500 1
CF(s) + CF# → EP + CF# 0.9 20 0.5 500 1
CF(s) + CF# → AC(s) +F + CF# 0.01 20 0.5 500 1
CF(s) + F+ → EP + F# 0.9 20 0.5 500 1
CF(s) + F+ → AC(s) +F + F# 0.01 20 0.5 500 1
CF(s) + F# → EP + F# 0.9 20 0.5 500 1
CF(s) + F# → AC(s) +F + F# 0.01 20 0.5 500 1
CF(s) + F2+ → EP + F2# 0.9 20 0.5 500 1
CF(s) + F2+ → AC(s) +F + F2# 0.01 20 0.5 500 1
CF(s) + F2# → EP + F2# 0.9 20 0.5 500 1
235
CF(s) + F2# → AC(s) +F + F2# 0.01 20 0.5 500 1
CF(s) + C2F5+ → EP + C2F5# 0.9 20 0.5 500 1
CF(s) + C2F5+ → AC(s) +F + C2F5# 0.01 20 0.5 500 1
CF(s) + C2F5# → EP + C2F5# 0.9 20 0.5 500 1
CF(s) + C2F5# → AC(s) +F + C2F5# 0.01 20 0.5 500 1
CF(s) + C2F4+ → EP + C2F4# 0.9 20 0.5 500 1
CF(s) + C2F4+ → AC(s) +F + C2F4# 0.01 20 0.5 500 1
CF(s) + C2F4# → EP + C2F4# 0.9 20 0.5 500 1
CF(s) + C2F4# → AC(s) +F + C2F4# 0.01 20 0.5 500 1
CF(s) + C2F3+ → EP + C2F3# 0.9 20 0.5 500 1
CF(s) + C2F3+ → AC(s) +F + C2F3# 0.01 20 0.5 500 1
CF(s) + C2F3# → EP + C2F3# 0.9 20 0.5 500 1
CF(s) + C2F3# → AC(s) +F + C2F3# 0.01 20 0.5 500 1
CF(s) + C3F5+ → EP + C3F5# 0.9 20 0.5 500 1
CF(s) + C3F5+ → AC(s) +F + C3F5# 0.01 20 0.5 500 1
CF(s) + C3F5# → EP + C3F5# 0.9 20 0.5 500 1
CF(s) + C3F5# → AC(s) +F + C3F5# 0.01 20 0.5 500 1
CF(s) + C3F6+ → EP + C3F6# 0.9 20 0.5 500 1
CF(s) + C3F6+ → AC(s) +F + C3F6# 0.01 20 0.5 500 1
CF(s) + C3F6# → EP + C3F6# 0.9 20 0.5 500 1
CF(s) + C3F6# → AC(s) +F + C3F6# 0.01 20 0.5 500 1
CF(s) + C3F7+ → EP + C3F7# 0.9 20 0.5 500 1
CF(s) + C3F7+ → AC(s) +F + C3F7# 0.01 20 0.5 500 1
CF(s) + C3F7# → EP + C3F7# 0.9 20 0.5 500 1
CF(s) + C3F7# → AC(s) +F + C3F7# 0.01 20 0.5 500 1
CF(s) + C4F7+ → EP + C4F7# 0.9 20 0.5 500 1
CF(s) + C4F7+ → AC(s) +F + C4F7# 0.01 20 0.5 500 1
CF(s) + C4F7# → EP + C4F7# 0.9 20 0.5 500 1
CF(s) + C4F7# → AC(s) +F + C4F7# 0.01 20 0.5 500 1
CF(s) + C4F8+ → EP + C4F8# 0.9 20 0.5 500 1
CF(s) + C4F8+ → AC(s) +F + C4F8# 0.01 20 0.5 500 1
CF(s) + C4F8# → EP + C4F8# 0.9 20 0.5 500 1
CF(s) + C4F8# → AC(s) +F + C4F8# 0.01 20 0.5 500 1
CF(s) + O+ → EP + O# 0.9 20 0.5 500 1
CF(s) + O+ → AC(s) +F + O# 0.01 20 0.5 500 1
CF(s) + O2+ → EP + O2 # 0.9 20 0.5 500 1
CF(s) + O2+ → AC(s) +F + O2# 0.01 20 0.5 500 1
CF(s) + O# → EP + O# 0.9 20 0.5 500 1
CF(s) + O# → AC(s) +F + O# 0.01 20 0.5 500 1
CF(s) + O# → CF + O# 0.1
CF(s) + O2# → EP + O2 # 0.9 20 0.5 500 1
236
CF(s) + O2# → AC(s) +F + O2# 0.01 20 0.5 500 1
CF2(s) + Ar+ → EP + Ar# 0.9 20 0.5 500 1
CF2(s) + Ar+ → CF(s) +F + Ar# 0.01 20 0.5 500 1
CF2(s) + Ar# → EP + Ar# 0.9 20 0.5 500 1
CF2(s) + Ar# → CF(s) +F + Ar# 0.01 20 0.5 500 1
CF2(s) + CF3+ → EP + CF3# 0.9 20 0.5 500 1
CF2(s) + CF3+ → CF(s) +F + CF3# 0.01 20 0.5 500 1
CF2(s) + CF3# → EP + CF3# 0.9 20 0.5 500 1
CF2(s) + CF3# → CF(s) +F + CF3# 0.01 20 0.5 500 1
CF2(s) + CF2+ → EP + CF2# 0.9 20 0.5 500 1
CF2(s) + CF2+ → CF(s) +F + CF2# 0.01 20 0.5 500 1
CF2(s) + CF2# → EP + CF2# 0.9 20 0.5 500 1
CF2(s) + CF2# → CF(s) +F + CF2# 0.01 20 0.5 500 1
CF2(s) + CF+ → EP + CF# 0.9 20 0.5 500 1
CF2(s) + CF+ → CF(s) +F + CF# 0.01 20 0.5 500 1
CF2(s) + CF# → EP + CF# 0.9 20 0.5 500 1
CF2(s) + CF# → CF(s) +F + CF# 0.01 20 0.5 500 1
CF2(s) + F+ → EP + F# 0.9 20 0.5 500 1
CF2(s) + F+ → CF(s) +F + F# 0.01 20 0.5 500 1
CF2(s) + F# → EP + F# 0.9 20 0.5 500 1
CF2(s) + F# → CF(s) +F + F# 0.01 20 0.5 500 1
CF2(s) + F2+ → EP + F2# 0.9 20 0.5 500 1
CF2(s) + F2+ → CF(s) +F + F2# 0.01 20 0.5 500 1
CF2(s) + F2# → EP + F2# 0.9 20 0.5 500 1
CF2(s) + F2# → CF(s) +F + F2# 0.01 20 0.5 500 1
CF2(s) + C2F5+ → EP + C2F5# 0.9 20 0.5 500 1
CF2(s) + C2F5+ → CF(s) +F + C2F5# 0.01 20 0.5 500 1
CF2(s) + C2F5# → EP + C2F5# 0.9 20 0.5 500 1
CF2(s) + C2F5# → CF(s) +F + C2F5# 0.01 20 0.5 500 1
CF2(s) + C2F4+ → EP + C2F4# 0.9 20 0.5 500 1
CF2(s) + C2F4+ → CF(s) +F + C2F4# 0.01 20 0.5 500 1
CF2(s) + C2F4# → EP + C2F4# 0.9 20 0.5 500 1
CF2(s) + C2F4# → CF(s) +F + C2F4# 0.01 20 0.5 500 1
CF2(s) + C2F3+ → EP + C2F3# 0.9 20 0.5 500 1
CF2(s) + C2F3+ → CF(s) +F + C2F3# 0.01 20 0.5 500 1
CF2(s) + C2F3# → EP + C2F3# 0.9 20 0.5 500 1
CF2(s) + C2F3# → CF(s) +F + C2F3# 0.01 20 0.5 500 1
CF2(s) + C3F5+ → EP + C3F5# 0.9 20 0.5 500 1
CF2(s) + C3F5+ → CF(s) +F + C3F5# 0.01 20 0.5 500 1
CF2(s) + C3F5# → EP + C3F5# 0.9 20 0.5 500 1
CF2(s) + C3F5# → CF(s) +F + C3F5# 0.01 20 0.5 500 1
237
CF2(s) + C3F6+ → EP + C3F6# 0.9 20 0.5 500 1
CF2(s) + C3F5# → CF(s) +F + C3F5# 0.01 20 0.5 500 1
CF2(s) + C3F6# → EP + C3F6# 0.9 20 0.5 500 1
CF2(s) + C3F6# → CF(s) +F + C3F6# 0.01 20 0.5 500 1
CF2(s) + C3F7+ → EP + C3F7# 0.9 20 0.5 500 1
CF2(s) + C3F7+ → CF(s) +F + C3F7# 0.01 20 0.5 500 1
CF2(s) + C3F7# → EP + C3F7# 0.9 20 0.5 500 1
CF2(s) + C3F7# → CF(s) +F + C3F7# 0.01 20 0.5 500 1
CF2(s) + C4F7+ → EP + C4F7# 0.9 20 0.5 500 1
CF2(s) + C4F7+ → CF(s) +F + C4F7# 0.01 20 0.5 500 1
CF2(s) + C4F7# → EP + C4F7# 0.9 20 0.5 500 1
CF2(s) + C4F7# → CF(s) +F + C4F7# 0.01 20 0.5 500 1
CF2(s) + C4F8+ → EP + C4F8# 0.9 20 0.5 500 1
CF2(s) + C4F8+ → CF(s) +F + C4F8# 0.01 20 0.5 500 1
CF2(s) + C4F8# → EP + C4F8# 0.9 20 0.5 500 1
CF2(s) + C4F8# → CF(s) +F + C4F8# 0.01 20 0.5 500 1
CF2(s) + O+ → EP + O# 0.9 20 0.5 500 1
CF2(s) + O+ → CF(s) +F + O# 0.01 20 0.5 500 1
CF2(s) + O2+ → EP + O2 # 0.9 20 0.5 500 1
CF2(s) + O2+ → CF(s) +F + O2# 0.01 20 0.5 500 1
CF2(s) + O# → EP + O# 0.9 20 0.5 500 1
CF2(s) + O# → CF(s) +F + O# 0.01 20 0.5 500 1
CF2(s) + O# → CF + O# 0.1
CF2(s) + O2# → EP + O2 # 0.9 20 0.5 500 1
CF2(s) + O2# → CF(s) +F + O2 #
0.01 20 0.5 500 1
CF3(s) + Ar+ → EP + Ar# 0.9 20 0.5 500 1
CF3(s) + Ar+ → CF2(s) +F + Ar# 0.01 20 0.5 500 1
CF3(s) + Ar# → EP + Ar# 0.9 20 0.5 500 1
CF3(s) + Ar# → CF2(s) +F + Ar# 0.01 20 0.5 500 1
CF3(s) + CF3+ → EP + CF3# 0.9 20 0.5 500 1
CF3(s) + CF3+ → CF2(s) +F + CF3# 0.01 20 0.5 500 1
CF3(s) + CF3# → EP + CF3# 0.9 20 0.5 500 1
CF3(s) + CF3# → CF2(s) +F + CF3# 0.01 20 0.5 500 1
CF3(s) + CF2+ → EP + CF2# 0.9 20 0.5 500 1
CF3(s) + CF2+ → CF2(s) +F + CF2# 0.01 20 0.5 500 1
CF3(s) + CF2# → EP + CF2# 0.9 20 0.5 500 1
CF3(s) + CF2# → CF2(s) +F + CF2# 0.01 20 0.5 500 1
CF3(s) + CF+ → EP + CF# 0.9 20 0.5 500 1
CF3(s) + CF+ → CF2(s) +F + CF# 0.01 20 0.5 500 1
CF3(s) + CF# → EP + CF# 0.9 20 0.5 500 1
CF3(s) + CF# → CF2(s) +F + CF# 0.01 20 0.5 500 1
238
CF3(s) + F+ → EP + F# 0.9 20 0.5 500 1
CF3(s) + F+ → CF2(s) +F +F #
0.01 20 0.5 500 1
CF3(s) + F# → EP + F# 0.9 20 0.5 500 1
CF3(s) + F# → CF2(s) +F + F# 0.01 20 0.5 500 1
CF3(s) + F2+ → EP + F2# 0.9 20 0.5 500 1
CF3(s) + F2+ → CF2(s) +F + F2# 0.01 20 0.5 500 1
CF3(s) + F2# → EP + F2# 0.9 20 0.5 500 1
CF3(s) + F2# → CF2(s) +F + F2# 0.01 20 0.5 500 1
CF3(s) + C2F5+ → EP + C2F5# 0.9 20 0.5 500 1
CF3(s) + C2F5+ → CF2(s) +F + C2F5# 0.01 20 0.5 500 1
CF3(s) + C2F5# → EP + C2F5# 0.9 20 0.5 500 1
CF3(s) + C2F5# → CF2(s) +F + C2F5# 0.01 20 0.5 500 1
CF3(s) + C2F4+ → EP + C2F4# 0.9 20 0.5 500 1
CF3(s) + C2F4+ → CF2(s) +F + C2F4# 0.01 20 0.5 500 1
CF3(s) + C2F4# → EP + C2F4# 0.9 20 0.5 500 1
CF3(s) + C2F4# → CF2(s) +F + C2F4# 0.01 20 0.5 500 1
CF3(s) + C2F3+ → EP + C2F3# 0.9 20 0.5 500 1
CF3(s) + C2F3+ → CF2(s) +F + C2F3# 0.01 20 0.5 500 1
CF3(s) + C2F3# → EP + C2F3# 0.9 20 0.5 500 1
CF3(s) + C2F3# → CF2(s) +F + C2F3# 0.01 20 0.5 500 1
CF3(s) + C3F5+ → EP + C3F5# 0.9 20 0.5 500 1
CF3(s) + C3F5+ → CF2(s) +F + C3F5# 0.01 20 0.5 500 1
CF3(s) + C3F5# → EP + C3F5# 0.9 20 0.5 500 1
CF3(s) + C3F5# → CF2(s) +F + C3F5# 0.01 20 0.5 500 1
CF3(s) + C3F6+ → EP + C3F6# 0.9 20 0.5 500 1
CF3(s) + C3F5# → CF2(s) +F + C3F5# 0.01 20 0.5 500 1
CF3(s) + C3F6# → EP + C3F6# 0.9 20 0.5 500 1
CF3(s) + C3F6# → CF2(s) +F + C3F6# 0.01 20 0.5 500 1
CF3(s) + C3F7+ → EP + C3F7# 0.9 20 0.5 500 1
CF3(s) + C3F7+ → CF2(s) +F + C3F7# 0.01 20 0.5 500 1
CF3(s) + C3F7# → EP + C3F7# 0.9 20 0.5 500 1
CF3(s) + C3F7# → CF2(s) +F + C3F7# 0.01 20 0.5 500 1
CF3(s) + C4F7+ → EP + C4F7# 0.9 20 0.5 500 1
CF3(s) + C4F7+ → CF2(s) +F + C4F7# 0.01 20 0.5 500 1
CF3(s) + C4F7# → EP + C4F7# 0.9 20 0.5 500 1
CF3(s) + C4F7# → CF2(s) +F + C4F7# 0.01 20 0.5 500 1
CF3(s) + C4F8+ → EP + C4F8# 0.9 20 0.5 500 1
CF3(s) + C4F8+ → CF2(s) +F + C4F8# 0.01 20 0.5 500 1
CF3(s) + C4F8# → EP + C4F8# 0.9 20 0.5 500 1
CF3(s) + C4F8# → CF2(s) +F + C4F8# 0.01 20 0.5 500 1
CF3(s) + O+ → EP + O# 0.9 20 0.5 500 1
239
CF3(s) + O+ → CF2(s) +F + O# 0.01 20 0.5 500 1
CF3(s) + O2+ → EP + O2 # 0.9 20 0.5 500 1
CF3(s) + O2+ → CF2(s) +F + O2# 0.01 20 0.5 500 1
CF3(s) + O# → EP + O# 0.9 20 0.5 500 1
CF3(s) + O# → CF2(s) +F + O# 0.01 20 0.5 500 1
CF3(s) + O# → CF + O# 0.1
CF3(s) + O2# → EP + O2 # 0.9 20 0.5 500 1
CF3(s) + O2# → CF2(s) +F + O2 #
0.01 20 0.5 500 1
C2F3(s) + Ar+ → EP + Ar# 0.8 50 0.5 500 1
C2F3(s) + Ar# → EP + Ar# 0.8 50 0.5 500 1
C2F3(s) + CF3+ → EP + CF3# 0.8 50 0.5 500 1
C2F3(s) + CF3# → EP + CF3# 0.8 50 0.5 500 1
C2F3(s) + CF2+ → EP + CF2# 0.8 50 0.5 500 1
C2F3(s) + CF2# → EP + CF2# 0.8 50 0.5 500 1
C2F3(s) + CF+ → EP + CF# 0.8 50 0.5 500 1
C2F3(s) + CF# → EP + CF# 0.8 50 0.5 500 1
C2F3(s) + F+ → EP + F# 0.8 50 0.5 500 1
C2F3(s) + F# → EP + F# 0.8 50 0.5 500 1
C2F3(s) + F2+ → EP + F2# 0.8 50 0.5 500 1
C2F3(s) + F2# → EP + F2# 0.8 50 0.5 500 1
C2F3(s) + C2F5+ → EP + C2F5# 0.8 50 0.5 500 1
C2F3(s) + C2F5# → EP + C2F5# 0.8 50 0.5 500 1
C2F3(s) + C2F4+ → EP + C2F4# 0.8 50 0.5 500 1
C2F3(s) + C2F4# → EP + C2F4# 0.8 50 0.5 500 1
C2F3(s) + C2F3+ → EP + C2F3# 0.8 50 0.5 500 1
C2F3(s) + C2F3# → EP + C2F3# 0.8 50 0.5 500 1
C2F3(s) + C3F5+ → EP + C3F5# 0.8 50 0.5 500 1
C2F3(s) + C3F5# → EP + C3F5# 0.8 50 0.5 500 1
C2F3(s) + C3F6+ → EP + C3F6# 0.8 50 0.5 500 1
C2F3(s) + C3F6# → EP + C3F6# 0.8 50 0.5 500 1
C2F3(s) + C3F7+ → EP + C3F7# 0.8 50 0.5 500 1
C2F3(s) + C3F7# → EP + C3F7# 0.8 50 0.5 500 1
C2F3(s) + C4F7+ → EP + C4F7# 0.8 50 0.5 500 1
C2F3(s) + C4F7# → EP + C4F7# 0.8 50 0.5 500 1
C2F3(s) + C4F8+ → EP + C4F8# 0.8 50 0.5 500 1
C2F3(s) + C4F8# → EP + C4F8# 0.8 50 0.5 500 1
C2F3(s) + O+ → EP + O# 0.8 50 0.5 500 1
C2F3(s) + O2+ → EP + O2 # 0.8 50 0.5 500 1
C2F3(s) + O# → EP + O# 0.8 50 0.5 500 1
C2F3(s) + O2# → EP + O2 # 0.8 50 0.5 500 1
CF(xs) + Ar+ → EP + Ar# 0.6 50 0.5 500 1
240
CF(xs) + Ar+ → CF(s) + Ar# 0.3 8 0.5 500 1
CF(xs) + Ar# → EP + Ar# 0.6 50 0.5 500 1
CF(xs) + Ar# → CF(s) + Ar# 0.3 8 0.5 500 1
CF(xs) + CF3+ → EP + CF3# 0.6 50 0.5 500 1
CF(xs) + CF3+ → CF(s) + CF3# 0.3 8 0.5 500 1
CF(xs) + CF3# → EP + CF3# 0.6 50 0.5 500 1
CF(xs) + CF3# → CF(s) + CF3# 0.3 8 0.5 500 1
CF(xs) + CF2+ → EP + CF2# 0.6 50 0.5 500 1
CF(xs) + CF2+ → CF(s) + CF2# 0.3 8 0.5 500 1
CF(xs) + CF2# → EP + CF2# 0.6 50 0.5 500 1
CF(xs) + CF2# → CF(s) + CF2# 0.3 8 0.5 500 1
CF(xs) + CF+ → EP + CF# 0.6 50 0.5 500 1
CF(xs) + CF+ → CF(s) + CF# 0.3 8 0.5 500 1
CF(xs) + CF# → EP + CF# 0.6 50 0.5 500 1
CF(xs) + CF# → CF(s) + CF# 0.3 8 0.5 500 1
CF(xs) + F+ → EP + F# 0.6 50 0.5 500 1
CF(xs) + F+ → CF(s) + F# 0.3 8 0.5 500 1
CF(xs) + F# → EP + F# 0.6 50 0.5 500 1
CF(xs) + F# → CF(s) + F# 0.3 8 0.5 500 1
CF(xs) + F2+ → EP + F2# 0.6 50 0.5 500 1
CF(xs) + F2+ → CF(s) + F2# 0.3 8 0.5 500 1
CF(xs) + F2# → EP + F2# 0.6 50 0.5 500 1
CF(xs) + F2# → CF(s) + F2# 0.3 8 0.5 500 1
CF(xs) + C2F5+ → EP + C2F5# 0.6 50 0.5 500 1
CF(xs) + C2F5+ → CF(s) + C2F5# 0.3 8 0.5 500 1
CF(xs) + C2F5# → EP + C2F5# 0.6 50 0.5 500 1
CF(xs) + C2F5# → CF(s) + C2F5# 0.3 8 0.5 500 1
CF(xs) + C2F4+ → EP + C2F4# 0.6 50 0.5 500 1
CF(xs) + C2F4+ → CF(s) + C2F4# 0.3 8 0.5 500 1
CF(xs) + C2F4# → EP + C2F4# 0.6 50 0.5 500 1
CF(xs) + C2F4# → CF(s) + C2F4# 0.3 8 0.5 500 1
CF(xs) + C2F3+ → EP + C2F3# 0.6 50 0.5 500 1
CF(xs) + C2F3+ → CF(s) + C2F3# 0.3 8 0.5 500 1
CF(xs) + C2F3# → EP + C2F3# 0.6 50 0.5 500 1
CF(xs) + C2F3# → CF(s) + C2F3# 0.3 8 0.5 500 1
CF(xs) + C3F5+ → EP + C3F5# 0.6 50 0.5 500 1
CF(xs) + C3F5+ → CF(s) + C3F5# 0.3 8 0.5 500 1
CF(xs) + C3F5# → EP + C3F5# 0.6 50 0.5 500 1
CF(xs) + C3F5# → CF(s) + C3F5# 0.3 8 0.5 500 1
CF(xs) + C3F6+ → EP + C3F6# 0.6 50 0.5 500 1
CF(xs) + C3F6+ → CF(s) + C3F6# 0.3 8 0.5 500 1
241
CF(xs) + C3F6# → EP + C3F6# 0.6 50 0.5 500 1
CF(xs) + C3F6# → CF(s) + C3F6# 0.3 8 0.5 500 1
CF(xs) + C3F7+ → EP + C3F7# 0.6 50 0.5 500 1
CF(xs) + C3F7+ → CF(s) + C3F7# 0.3 8 0.5 500 1
CF(xs) + C3F7# → EP + C3F7# 0.6 50 0.5 500 1
CF(xs) + C3F7# → CF(s) + C3F7# 0.3 8 0.5 500 1
CF(xs) + C4F7+ → EP + C4F7# 0.6 50 0.5 500 1
CF(xs) + C4F7+ → CF(s) + C4F7# 0.3 8 0.5 500 1
CF(xs) + C4F7# → EP + C4F7# 0.6 50 0.5 500 1
CF(xs) + C4F7# → CF(s) + C4F7# 0.3 8 0.5 500 1
CF(xs) + C4F8+ → EP + C4F8# 0.6 50 0.5 500 1
CF(xs) + C4F8+ → CF(s) + C4F8# 0.3 8 0.5 500 1
CF(xs) + C4F8# → EP + C4F8# 0.6 50 0.5 500 1
CF(xs) + C4F8# → CF(s) + C4F8# 0.3 8 0.5 500 1
CF(xs) + O+ → EP + O# 0.6 50 0.5 500 1
CF(xs) + O+ → CF(s) + O# 0.3 8 0.5 500 1
CF(xs) + O2+ → EP + O2 # 0.6 50 0.5 500 1
CF(xs) + O2+ → CF(s) + O2# 0.3 8 0.5 500 1
CF(xs) + O# → EP + O# 0.6 50 0.5 500 1
CF(xs) + O# → CF(s) + O# 0.3 8 0.5 500 1
CF(xs) + O# → CF + O# 0.1
CF(xs) + O2# → EP + O2 # 0.6 50 0.5 500 1
CF(xs) + O2# → CF(s) + O2# 0.3 8 0.5 500 1
CF2(xs) + Ar+ → EP + Ar# 0.6 50 0.5 500 1
CF2(xs) + Ar+ → CF2(s) + Ar# 0.3 8 0.5 500 1
CF2(xs) + Ar# → EP + Ar# 0.6 50 0.5 500 1
CF2(xs) + Ar# → CF2(s) + Ar# 0.3 8 0.5 500 1
CF2(xs) + CF3+ → EP + CF3# 0.6 50 0.5 500 1
CF2(xs) + CF3+ → CF2(s) + CF3# 0.3 8 0.5 500 1
CF2(xs) + CF3# → EP + CF3# 0.6 50 0.5 500 1
CF2(xs) + CF3# → CF2(s) + CF3# 0.3 8 0.5 500 1
CF2(xs) + CF2+ → EP + CF2# 0.6 50 0.5 500 1
CF2(xs) + CF2+ → CF2(s) + CF3# 0.3 8 0.5 500 1
CF2(xs) + CF2# → EP + CF2# 0.6 50 0.5 500 1
CF2(xs) + CF2# → CF2(s) + CF2# 0.3 8 0.5 500 1
CF2(xs) + CF+ → EP + CF# 0.6 50 0.5 500 1
CF2(xs) + CF+ → CF2(s) + CF# 0.3 8 0.5 500 1
CF2(xs) + CF# → EP + CF# 0.6 50 0.5 500 1
CF2(xs) + CF# → CF2(s) + CF# 0.3 8 0.5 500 1
CF2(xs) + F+ → EP + F# 0.6 50 0.5 500 1
CF2(xs) + F+ → CF2(s) + F# 0.3 8 0.5 500 1
242
CF2(xs) + F# → EP + F# 0.6 50 0.5 500 1
CF2(xs) + F# → CF2(s) + F# 0.3 8 0.5 500 1
CF2(xs) + F2+ → EP + F2# 0.6 50 0.5 500 1
CF2(xs) + F2+ → CF2(s) + F2# 0.3 8 0.5 500 1
CF2(xs) + F2# → EP + F2# 0.6 50 0.5 500 1
CF2(xs) + F2# → CF2(s) + F2# 0.3 8 0.5 500 1
CF2(xs) + C2F5+ → EP + C2F5# 0.6 50 0.5 500 1
CF2(xs) + C2F5+ → CF2(s) + C2F5# 0.3 8 0.5 500 1
CF2(xs) + C2F5# → EP + C2F5# 0.6 50 0.5 500 1
CF2(xs) + C2F5# → CF2(s) + C2F5# 0.3 8 0.5 500 1
CF2(xs) + C2F4+ → EP + C2F4# 0.6 50 0.5 500 1
CF2(xs) + C2F4+ → CF2(s) + C2F4# 0.3 8 0.5 500 1
CF2(xs) + C2F4# → EP + C2F4# 0.6 50 0.5 500 1
CF2(xs) + C2F4# → CF2(s) + C2F4# 0.3 8 0.5 500 1
CF2(xs) + C2F3+ → EP + C2F3# 0.6 50 0.5 500 1
CF2(xs) + C2F3+ → CF2(s) + C2F3# 0.3 8 0.5 500 1
CF2(xs) + C2F3# → EP + C2F3# 0.6 50 0.5 500 1
CF2(xs) + C2F3# → CF2(s) + C2F3# 0.3 8 0.5 500 1
CF2(xs) + C3F5+ → EP + C3F5# 0.6 50 0.5 500 1
CF2(xs) + C3F5+ → CF2(s) + C3F5# 0.3 8 0.5 500 1
CF2(xs) + C3F5# → EP + C3F5# 0.6 50 0.5 500 1
CF2(xs) + C3F5# → CF2(s) + C3F5# 0.3 8 0.5 500 1
CF2(xs) + C3F6+ → EP + C3F6# 0.6 50 0.5 500 1
CF2(xs) + C3F6+ → CF2(s) + C3F6# 0.3 8 0.5 500 1
CF2(xs) + C3F6# → EP + C3F6# 0.6 50 0.5 500 1
CF2(xs) + C3F6+ → CF2(s) + C3F6# 0.3 8 0.5 500 1
CF2(xs) + C3F7+ → EP + C3F7# 0.6 50 0.5 500 1
CF2(xs) + C3F7+ → CF2(s) + C3F7# 0.3 8 0.5 500 1
CF2(xs) + C3F7# → EP + C3F7# 0.6 50 0.5 500 1
CF2(xs) + C3F7# → CF2(s) + C3F7# 0.3 8 0.5 500 1
CF2(xs) + C4F7+ → EP + C4F7# 0.6 50 0.5 500 1
CF2(xs) + C4F7+ → CF2(s) + C4F7# 0.3 8 0.5 500 1
CF2(xs) + C4F7# → EP + C4F7# 0.6 50 0.5 500 1
CF2(xs) + C4F7# → CF2(s) + C4F7# 0.3 8 0.5 500 1
CF2(xs) + C4F8+ → EP + C4F8# 0.6 50 0.5 500 1
CF2(xs) + C4F8+ → CF2(s) + C4F8# 0.3 8 0.5 500 1
CF2(xs) + C4F8# → EP + C4F8# 0.6 50 0.5 500 1
CF2(xs) + C4F8# → CF2(s) + C4F8# 0.3 8 0.5 500 1
CF2(xs) + O+ → EP + O# 0.6 50 0.5 500 1
CF2(xs) + O+ → CF2(s) + O# 0.3 8 0.5 500 1
CF2(xs) + O2+ → EP + O2 # 0.6 50 0.5 500 1
243
CF2(xs) + O2+ → CF2(s) + O2# 0.3 8 0.5 500 1
CF2(xs) + O# → EP + O# 0.6 50 0.5 500 1
CF2(xs) + O2+ → CF2(s) + O2# 0.3 8 0.5 500 1
CF2(xs) + O# → CF + O# 0.1
CF2(xs) + O2# → EP + O2 # 0.6 50 0.5 500 1
CF2(xs) + O2+ → CF2(s) + O2# 0.3 8 0.5 500 1
CF3(xs) + Ar+ → EP + Ar# 0.6 50 0.5 500 1
CF3(xs) + Ar+ → CF3(s) + Ar# 0.3 8 0.5 500 1
CF3(xs) + Ar# → EP + Ar# 0.6 50 0.5 500 1
CF3(xs) + Ar# → CF3(s) + Ar# 0.3 8 0.5 500 1
CF3(xs) + CF3+ → EP + CF3# 0.6 50 0.5 500 1
CF3(xs) + CF3+ → CF3(s) + CF3# 0.3 8 0.5 500 1
CF3(xs) + CF3# → EP + CF3# 0.6 50 0.5 500 1
CF3(xs) + CF3# → CF3(s) + CF3# 0.3 8 0.5 500 1
CF3(xs) + CF2+ → EP + CF2# 0.6 50 0.5 500 1
CF3(xs) + CF2+ → CF3(s) + CF3# 0.3 8 0.5 500 1
CF3(xs) + CF2# → EP + CF2# 0.6 50 0.5 500 1
CF3(xs) + CF2# → CF3(s) + CF2# 0.3 8 0.5 500 1
CF3(xs) + CF+ → EP + CF# 0.6 50 0.5 500 1
CF3(xs) + CF+ → CF3(s) + CF# 0.3 8 0.5 500 1
CF3(xs) + CF# → EP + CF# 0.6 50 0.5 500 1
CF3(xs) + CF# → CF3(s) + CF# 0.3 8 0.5 500 1
CF3(xs) + F+ → EP + F# 0.6 50 0.5 500 1
CF3(xs) + F+ → CF3(s) + F# 0.3 8 0.5 500 1
CF3(xs) + F# → EP + F# 0.6 50 0.5 500 1
CF3(xs) + F# → CF3(s) + F# 0.3 8 0.5 500 1
CF3(xs) + F2+ → EP + F2# 0.6 50 0.5 500 1
CF3(xs) + F2+ → CF3(s) + F2# 0.3 8 0.5 500 1
CF3(xs) + F2# → EP + F2# 0.6 50 0.5 500 1
CF3(xs) + F2# → CF3(s) + F2# 0.3 8 0.5 500 1
CF3(xs) + C2F5+ → EP + C2F5# 0.6 50 0.5 500 1
CF3(xs) + C2F5+ → CF3(s) + C2F5# 0.3 8 0.5 500 1
CF3(xs) + C2F5# → EP + C2F5# 0.6 50 0.5 500 1
CF3(xs) + C2F5# → CF3(s) + C2F5# 0.3 8 0.5 500 1
CF3(xs) + C2F4+ → EP + C2F4# 0.6 50 0.5 500 1
CF3(xs) + C2F4+ → CF3(s) + C2F4# 0.3 8 0.5 500 1
CF3(xs) + C2F4# → EP + C2F4# 0.6 50 0.5 500 1
CF3(xs) + C2F4# → CF3(s) + C2F4# 0.3 8 0.5 500 1
CF3(xs) + C2F3+ → EP + C2F3# 0.6 50 0.5 500 1
CF3(xs) + C2F3+ → CF3(s) + C2F3# 0.3 8 0.5 500 1
CF3(xs) + C2F3# → EP + C2F3# 0.6 50 0.5 500 1
244
CF3(xs) + C2F3# → CF3(s) + C2F3# 0.3 8 0.5 500 1
CF3(xs) + C3F5+ → EP + C3F5# 0.6 50 0.5 500 1
CF3(xs) + C3F5+ → CF3(s) + C3F5# 0.3 8 0.5 500 1
CF3(xs) + C3F5# → EP + C3F5# 0.6 50 0.5 500 1
CF3(xs) + C3F5# → CF3(s) + C3F5# 0.3 8 0.5 500 1
CF3(xs) + C3F6+ → EP + C3F6# 0.6 50 0.5 500 1
CF3(xs) + C3F6+ → CF3(s) + C3F6# 0.3 8 0.5 500 1
CF3(xs) + C3F6# → EP + C3F6# 0.6 50 0.5 500 1
CF3(xs) + C3F6+ → CF3(s) + C3F6# 0.3 8 0.5 500 1
CF3(xs) + C3F7+ → EP + C3F7# 0.6 50 0.5 500 1
CF3(xs) + C3F7+ → CF3(s) + C3F7# 0.3 8 0.5 500 1
CF3(xs) + C3F7# → EP + C3F7# 0.6 50 0.5 500 1
CF3(xs) + C3F7# → CF3(s) + C3F7# 0.3 8 0.5 500 1
CF3(xs) + C4F7+ → EP + C4F7# 0.6 50 0.5 500 1
CF3(xs) + C4F7+ → CF3(s) + C4F7# 0.3 8 0.5 500 1
CF3(xs) + C4F7# → EP + C4F7# 0.6 50 0.5 500 1
CF3(xs) + C4F7# → CF3(s) + C4F7# 0.3 8 0.5 500 1
CF3(xs) + C4F8+ → EP + C4F8# 0.6 50 0.5 500 1
CF3(xs) + C4F8+ → CF3(s) + C4F8# 0.3 8 0.5 500 1
CF3(xs) + C4F8# → EP + C4F8# 0.6 50 0.5 500 1
CF3(xs) + C4F8# → CF3(s) + C4F8# 0.3 8 0.5 500 1
CF3(xs) + O+ → EP + O# 0.6 50 0.5 500 1
CF3(xs) + O+ → CF3(s) + O# 0.3 8 0.5 500 1
CF3(xs) + O2+ → EP + O2 # 0.6 50 0.5 500 1
CF3(xs) + O2+ → CF3(s) + O2# 0.3 8 0.5 500 1
CF3(xs) + O# → EP + O# 0.6 50 0.5 500 1
CF3(xs) + O2+ → CF3(s) + O2# 0.3 8 0.5 500 1
CF3(xs) + O# → CF + O# 0.1
CF3(xs) + O2# → EP + O2 # 0.6 50 0.5 500 1
CF3(xs) + O2+ → CF3(s) + O2# 0.3 8 0.5 500 1
AC(s) +F → CF(s) 0.01
AC(xs) +F → CF(s) 0.001
CF(s) +F → CF2(s) 0.01
CF2(s) +F → CF3(s) 0.01
CF3(s) +F → CF4 0.01
AC(s) +C → AC(s) + AC(s) 0.01
SiO2(s) +C → SiO2(s) + AC(s) 0.01
CF2(s) +C → CF2(s) + AC(s) 0.01
SiO2(s) + Ar+ → SiO2*(s) + Ar# 0.9
SiO2(s) + Ar+ → Ar# + SiO2 0.0852 70 1 140 1
SiO2(s) + F+ → SiO2*(s) + F# 0.9
245
SiO2(s) + F+ → F# + SiO2 0.0852 70 1 140 1
SiO2(s) + F2+ → SiO2*(s) + F2# 0.9
SiO2(s) + F2+ → F2# SiO2 + F2# 0.0852 70 1 140 1
SiO2(s) + O2+ → SiO2*(s) + O2# 0.9
SiO2(s) + O2+ → O2# + SiO2 0.0852 70 1 140 1
SiO2(s) + O+ → SiO2*(s) + O# 0.9
SiO2(s) + O+ → O# + SiO2 0.0852 70 1 140 1
SiO2(s) + CF3+ → SiO2*(s) + CF3# 0.9
SiO2(s) + CF3+ → CF3# + SiO2 0.0852 70 1 140 1
SiO2(s) + CF2+ → SiO2*(s) + CF2# 0.9
SiO2(s) + CF2+ → CF2# + SiO2 0.0852 70 1 140 1
SiO2(s) + CF+ → SiO2*(s) + CF# 0.9
SiO2(s) + CF+ → CF# + SiO2 0.0852 70 1 140 1
SiO2(s) + C2F5+ → SiO2*(s) + C2F5# 0.9
SiO2(s) + C2F5+ → C2F5# + SiO2 0.0852 70 1 140 1
SiO2(s) + C2F4+ → SiO2*(s) + C2F4# 0.9
SiO2(s) + C2F4+ → C2F4# + SiO2 0.0852 70 1 140 1
SiO2(s) + C2F3+ → SiO2*(s) + C2F3# 0.9
SiO2(s) + C2F3+ → C2F3# + SiO2 0.0852 70 1 140 1
SiO2(s) + C3F5+ → SiO2*(s) + C3F5# 0.9
SiO2(s) + C3F5+ → C3F5# + SiO2 0.0852 70 1 140 1
SiO2(s) + C3F6+ → SiO2*(s) + C3F6# 0.9
SiO2(s) + C3F6+ → C3F6# + SiO2 0.0852 70 1 140 1
SiO2(s) + C3F7+ → SiO2*(s) + C3F7# 0.9
SiO2(s) + C3F7+ → C3F7# + SiO2 0.0852 70 1 140 1
SiO2(s) + C4F7+ → SiO2*(s) + C4F7# 0.9
SiO2(s) + C4F7+ → SiO2 + C4F7# 0.0852 70 1 140 1
SiO2(s) + C4F8+ → SiO2*(s) + C4F8# 0.9
SiO2(s) + C4F8+ → C4F8# + SiO2 0.0852 70 1 140 1
SiO2(s) + Ar# → SiO2*(s) + Ar# 0.9
SiO2(s) + Ar# → Ar# + SiO2 0.0852 70 1 140 1
SiO2(s) + F# → SiO2*(s) + F# 0.9
SiO2(s) + F# → F# + SiO2 0.0852 70 1 140 1
SiO2(s) + F2# → SiO2*(s) + F2# 0.9
SiO2(s) + F2# → F2# SiO2 + F2# 0.0852 70 1 140 1
SiO2(s) + O2# → SiO2*(s) + O2# 0.9
SiO2(s) + O2# → O2# + SiO2 0.0852 70 1 140 1
SiO2(s) + O# → SiO2*(s) + O# 0.9
SiO2(s) + O# → O# + SiO2 0.0852 70 1 140 1
SiO2(s) + CF3# → SiO2*(s) + CF3# 0.9
SiO2(s) + CF3# → CF3# + SiO2 0.0852 70 1 140 1
246
SiO2(s) + CF2# → SiO2*(s) + CF2# 0.9
SiO2(s) + CF2# → CF2# + SiO2 0.0852 70 1 140 1
SiO2(s) + CF# → SiO2*(s) + CF# 0.9
SiO2(s) + CF# → CF# + SiO2 0.0852 70 1 140 1
SiO2(s) + C2F5# → SiO2*(s) + C2F5# 0.9
SiO2(s) + C2F5# → C2F5# + SiO2 0.0852 70 1 140 1
SiO2(s) + C2F4# → SiO2*(s) + C2F4# 0.9
SiO2(s) + C2F4# → C2F4# + SiO2 0.0852 70 1 140 1
SiO2(s) + C2F3# → SiO2*(s) + C2F3# 0.9
SiO2(s) + C2F3# → C2F3# + SiO2 0.0852 70 1 140 1
SiO2(s) + C3F5# → SiO2*(s) + C3F5# 0.9
SiO2(s) + C3F5# → C3F5# + SiO2 0.0852 70 1 140 1
SiO2(s) + C3F6# → SiO2*(s) + C3F6# 0.9
SiO2(s) + C3F6# → C3F6# + SiO2 0.0852 70 1 140 1
SiO2(s) + C3F7# → SiO2*(s) + C3F7# 0.9
SiO2(s) + C3F7# → C3F7# + SiO2 0.0852 70 1 140 1
SiO2(s) + C4F7# → SiO2*(s) + C4F7# 0.9
SiO2(s) + C4F7# → SiO2 + C4F7# 0.0852 70 1 140 1
SiO2(s) + C4F8# → SiO2*(s) + C4F8# 0.9
SiO2(s) + C4F8# → C4F8# + SiO2 0.0852 70 1 140 1
SiO2*(s) + Ar+ → Ar #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + CF3+ → CF3 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + CF2+ → CF2 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + CF+ → CF #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + F2+ → F2 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + F+ → F#
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + O2+ → O2 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + O+ → SiO2 + O# 0.0852 70 1 140 1
SiO2*(s) + O+ → O# + SiO2 0.0852 70 1 140 1
SiO2*(s) + C2F4+ → C2F4 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C2F3+ → C2F3 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C2F3+ → SiO2 + C2F3# 0.0852 70 1 140 1
SiO2*(s) + C2F5+ → C2F5# + SiO2 0.0852 70 1 140 1
SiO2*(s) + C3F5+ → C3F5 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C3F6+ → C3F6 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C3F7+ → C3F7# + SiO2 0.0852 70 1 140 1
SiO2*(s) + C4F7+ → C4F7 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C4F8+ → C4F8 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + Ar# → Ar #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + CF3# → CF3 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + CF2# → CF2 #
+ SiO2 0.0852 70 1 140 1
247
SiO2*(s) + CF# → CF# + SiO2 0.0852 70 1 140 1
SiO2*(s) + F2# → F2 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + F# → F#
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + O2# → O2# + SiO2 0.0852 70 1 140 1
SiO2*(s) + O# → SiO2 + O# 0.0852 70 1 140 1
SiO2*(s) + O# → O# + SiO2 0.0852 70 1 140 1
SiO2*(s) + C2F4# → C2F4 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C2F3# → C2F3 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C2F3# → SiO2 + C2F3# 0.0852 70 1 140 1
SiO2*(s) + C2F5# → C2F5# + SiO2 0.0852 70 1 140 1
SiO2*(s) + C3F5# → C3F5 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C3F6# → C3F6# + SiO2 0.0852 70 1 140 1
SiO2*(s) + C3F7# → C3F7 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C4F7# → C4F7 #
+ SiO2 0.0852 70 1 140 1
SiO2*(s) + C4F8# → C4F8 #
+ SiO2 0.0852 70 1 140 1
SiO2(s) + CF → SiO2CF(s) 0.278
SiO2(s) + CF2 → SiO2CF2(s) 0.278
SiO2(s) + CF3 → SiO2CF3(s) 0.2
SiO2(s) + C2F3 → SiO2C2F3(s) 0.2
SiO2(s) + C2F4 → SiO2C2F4(s) 0.001
SiO2(s) + C3F5 → SiO2C3F5(s) 0.001
SiO2(s) + C3F6 → SiO2C3F6(s) 0.001
SiO2*(s) + CF → SiO2CF(s) 0.8
SiO2*(s) + CF → SiO2(s) + CF 0.2
SiO2*(s) + CF2 → SiO2CF2(s) 0.85
SiO2*(s) + CF2 → SiO2(s) + CF2 0.15
SiO2*(s) + CF3 → SiO2CF3(s) 0.9
SiO2*(s) + CF3 → SiO2(s) + CF3 0.1
SiO2*(s) + C2F3 → SiO2C2F3(s) 0.9
SiO2*(s) + C2F3 → SiO2(s) + C2F3 0.1
SiO2*(s) + F → SiO2(s) +F 1
SiO2*(s) + O → SiO2(s) +O 1
SiO2CF(s) + Ar+ → SiF + CO2 + Ar# 0.1471 35 1 140 2
SiO2CF2(s) + Ar+ → CO2 + Ar# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + Ar+ → CO2 + Ar# + SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + Ar+ → SiOCF3(s) + CO + Ar# 0.1471 35 1 140 2
SiO2C2F4(s) + Ar+ → SiOCF3(s) + COF + Ar# 0.1471 35 1 140 2
SiO2C3F5(s) + Ar+ → SiO2CF(s) + C2F4 + Ar# 0.1471 35 1 140 2
SiO2C3F6(s) + Ar+ → SiO2CF3(s) + C2F3 + Ar# 0.1471 35 1 140 2
SiO2CF*(s) + Ar+ → CO2 + Ar# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + Ar+ → CO2 + Ar# + SiF2 0.1471 35 1 140 2
248
SiO2CF3*(s) + Ar+ → CO2 + Ar# + SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + Ar+ → SiOCF3*(s) + CO + Ar# 0.1471 35 1 140 2
SiO2C2F4*(s) + Ar+ → SiOCF3*(s) + COF + Ar# 0.1471 35 1 140 2
SiO2C3F5*(s) + Ar+ → SiO2CF*(s) + C2F4 + Ar# 0.1471 35 1 140 2
SiO2C3F6*(s) + Ar+ → SiO2CF3*(s) + C2F3 + Ar# 0.1471 35 1 140 2
SiO2CF(s) + Ar# → SiF + CO2 + Ar# 0.1471 35 1 140 2
SiO2CF2(s) + Ar# → CO2 + Ar# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + Ar# → CO2 + Ar #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + Ar# → SiOCF3(s) + CO + Ar# 0.1471 35 1 140 2
SiO2C2F4(s) + Ar# → SiOCF3(s) + COF + Ar# 0.1471 35 1 140 2
SiO2C3F5(s) + Ar# → SiO2CF(s) + C2F4 + Ar# 0.1471 35 1 140 2
SiO2C3F6(s) + Ar# → SiO2CF3(s) + C2F3 + Ar# 0.1471 35 1 140 2
SiO2CF*(s) + Ar# → CO2 + Ar# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + Ar# → CO2 + Ar #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + Ar# → CO2 + Ar #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + Ar# → SiOCF3*(s) + CO + Ar# 0.1471 35 1 140 2
SiO2C2F4*(s) + Ar# → SiOCF3*(s) + COF + Ar# 0.1471 35 1 140 2
SiO2C3F5*(s) + Ar# → SiO2CF*(s) + C2F4 + Ar# 0.1471 35 1 140 2
SiO2C3F6*(s) + Ar# → SiO2CF3*(s) + C2F3 + Ar# 0.1471 35 1 140 2
SiO2CF(s) + O+ → SiF + CO2 + O# 0.1471 35 1 140 2
SiO2CF2(s) + O+ → CO2 + O# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + O+ → CO2 +O #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + O+ → SiOCF3(s) + CO + O# 0.1471 35 1 140 2
SiO2C2F4(s) + O+ → SiOCF3(s) + COF + O# 0.1471 35 1 140 2
SiO2C3F5(s) + O+ → SiO2CF(s) + C2F4 + O# 0.1471 35 1 140 2
SiO2C3F6(s) + O+ → SiO2CF3(s) + C2F3 + O# 0.1471 35 1 140 2
SiO2CF*(s) + O+ → CO2 +O #
+ SiF 0.1471 35 1 140 2
SiO2CF2*(s) + O+ → CO2 + O# + SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + O+ → CO2 +O #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + O+ → SiOCF3*(s) + CO + O# 0.1471 35 1 140 2
SiO2C2F4*(s) + O+ → SiOCF3*(s) + COF + O# 0.1471 35 1 140 2
SiO2C3F5*(s) + O+ → SiO2CF*(s) + C2F4 + O# 0.1471 35 1 140 2
SiO2C3F6*(s) + O+ → SiO2CF3*(s) + C2F3 + O# 0.1471 35 1 140 2
SiO2CF(s) + O# → SiF + CO2 + O# 0.1471 35 1 140 2
SiO2CF2(s) + O# → CO2 +O #
+ SiF2 0.1471 35 1 140 2
SiO2CF3(s) + O# → CO2 + O# + SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + O# → SiOCF3(s) + CO + O# 0.1471 35 1 140 2
SiO2C2F4(s) + O# → SiOCF3(s) + COF + O# 0.1471 35 1 140 2
SiO2C3F5(s) + O# → SiO2CF(s) + C2F4 + O# 0.1471 35 1 140 2
SiO2C3F6(s) + O# → SiO2CF3(s) + C2F3 + O# 0.1471 35 1 140 2
SiO2CF*(s) + O# → CO2 + O# + SiF 0.1471 35 1 140 2
249
SiO2CF2*(s) + O# → CO2 + O# + SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + O# → CO2 +O #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + O# → SiOCF3*(s) + CO + O# 0.1471 35 1 140 2
SiO2C2F4*(s) + O# → SiOCF3*(s) + COF + O# 0.1471 35 1 140 2
SiO2C3F5*(s) + O# → SiO2CF*(s) + C2F4 + O# 0.1471 35 1 140 2
SiO2C3F6*(s) + O# → SiO2CF3*(s) + C2F3 + O# 0.1471 35 1 140 2
SiO2CF(s) + O2+ → SiF + CO2 + O2# 0.1471 35 1 140 2
SiO2CF2(s) + O2+ → CO2 + O2# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + O2+ → CO2 + O2 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + O2+ → SiOCF3(s) + CO + O2 # 0.1471 35 1 140 2
SiO2C2F4(s) + O2+ → SiOCF3(s) + COF + O2 # 0.1471 35 1 140 2
SiO2C3F5(s) + O2+ → SiO2CF(s) + C2F4 + O2# 0.1471 35 1 140 2
SiO2C3F6(s) + O2+ → SiO2CF3(s) + C2F3 + O2# 0.1471 35 1 140 2
SiO2CF*(s) + O2+ → CO2 + O2# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + O2+ → CO2 + O2 #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + O2+ → CO2 + O2 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + O2+ → SiOCF3*(s) + CO + O2# 0.1471 35 1 140 2
SiO2C2F4*(s) + O2+ → SiOCF3*(s) + COF + O2# 0.1471 35 1 140 2
SiO2C3F5*(s) + O2+ → SiO2CF*(s) + C2F4 + O2# 0.1471 35 1 140 2
SiO2C3F6*(s) + O2+ → SiO2CF3*(s) + C2F3 + O2# 0.1471 35 1 140 2
SiO2CF(s) + O2# → SiF + CO2 + O2# 0.1471 35 1 140 2
SiO2CF2(s) + O2# → CO2 + O2# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + O2# → CO2 + O2 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + O2# → SiOCF3(s) + CO + O2 # 0.1471 35 1 140 2
SiO2C2F4(s) + O2# → SiOCF3(s) + COF + O2 # 0.1471 35 1 140 2
SiO2C3F5(s) + O2# → SiO2CF(s) + C2F4 + O2# 0.1471 35 1 140 2
SiO2C3F6(s) + O2# → SiO2CF3(s) + C2F3 + O2# 0.1471 35 1 140 2
SiO2CF*(s) + O2# → CO2 + O2# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + O2# → CO2 + O2 #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + O2# → CO2 + O2 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + O2# → SiOCF3*(s) + CO + O2# 0.1471 35 1 140 2
SiO2C2F4*(s) + O2# → SiOCF3*(s) + COF + O2# 0.1471 35 1 140 2
SiO2C3F5*(s) + O2# → SiO2CF*(s) + C2F4 + O2# 0.1471 35 1 140 2
SiO2C3F6*(s) + O2# → SiO2CF3*(s) + C2F3 + O2# 0.1471 35 1 140 2
SiO2CF(s) + CF3+ → SiF + CO2 + CF3# 0.1471 35 1 140 2
SiO2CF2(s) + CF3+ → CO2 + CF3# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + CF3+ → CO2 + CF3 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + CF3+ → SiOCF3(s) + CO + CF3# 0.1471 35 1 140 2
SiO2C2F4(s) + CF3+ → SiOCF3(s) + COF + CF3# 0.1471 35 1 140 2
SiO2C3F5(s) + CF3+ → SiO2CF(s) + C2F4 + CF3# 0.1471 35 1 140 2
SiO2C3F6(s) + CF3+ → SiO2CF3(s) + C2F3 + CF3# 0.1471 35 1 140 2
250
SiO2CF*(s) + CF3+ → CO2 + CF3# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + CF3+ → CO2 + CF3 #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + CF3+ → CO2 + CF3 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + CF3+ → SiOCF3*(s) + CO + CF3# 0.1471 35 1 140 2
SiO2C2F4*(s) + CF3+ → SiOCF3*(s) + COF + CF3# 0.1471 35 1 140 2
SiO2C3F5*(s) + CF3+ → SiO2CF*(s) + C2F4 + CF3# 0.1471 35 1 140 2
SiO2C3F6*(s) + CF3+ → SiO2CF3*(s) + C2F3 + CF3# 0.1471 35 1 140 2
SiO2CF(s) + CF3# → SiF + CO2 + CF3# 0.1471 35 1 140 2
SiO2CF2(s) + CF3# → CO2 + CF3# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + CF3# → CO2 + CF3 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + CF3# → SiOCF3(s) + CO + CF3# 0.1471 35 1 140 2
SiO2C2F4(s) + CF3# → SiOCF3(s) + COF + CF3# 0.1471 35 1 140 2
SiO2C3F5(s) + CF3# → SiO2CF(s) + C2F4 + CF3# 0.1471 35 1 140 2
SiO2C3F6(s) + CF3# → SiO2CF3(s) + C2F3 + CF3# 0.1471 35 1 140 2
SiO2CF*(s) + CF3# → CO2 + CF3# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + CF3# → CO2 + CF3 #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + CF3# → CO2 + CF3 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + CF3# → SiOCF3*(s) + CO + CF3# 0.1471 35 1 140 2
SiO2C2F4*(s) + CF3# → SiOCF3*(s) + COF + CF3# 0.1471 35 1 140 2
SiO2C3F5*(s) + CF3# → SiO2CF*(s) + C2F4 + CF3# 0.1471 35 1 140 2
SiO2C3F6*(s) + CF3# → SiO2CF3*(s) + C2F3 + CF3# 0.1471 35 1 140 2
SiO2CF(s) + CF2+ → SiF + CO2 + CF2# 0.1471 35 1 140 2
SiO2CF2(s) + CF2+ → CO2 + CF2# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + CF2+ → CO2 + CF2 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + CF2+ → SiOCF3(s) + CO + CF2# 0.1471 35 1 140 2
SiO2C2F4(s) + CF2+ → SiOCF3(s) + COF + CF2# 0.1471 35 1 140 2
SiO2C3F5(s) + CF2+ → SiO2CF(s) + C2F4 + CF2# 0.1471 35 1 140 2
SiO2C3F6(s) + CF2+ → SiO2CF3(s) + C2F3 + CF2# 0.1471 35 1 140 2
SiO2CF*(s) + CF2+ → CO2 + CF2# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + CF2+ → CO2 + CF2 #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + CF2+ → CO2 + CF2 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + CF2+ → SiOCF3*(s) + CO + CF2# 0.1471 35 1 140 2
SiO2C2F4*(s) + CF2+ → SiOCF3*(s) + COF + CF2# 0.1471 35 1 140 2
SiO2C3F5*(s) + CF2+ → SiO2CF*(s) + C2F4 + CF2# 0.1471 35 1 140 2
SiO2C3F6*(s) + CF2+ → SiO2CF3*(s) + C2F3 + CF2# 0.1471 35 1 140 2
SiO2CF(s) + CF2# → SiF + CO2 + CF2# 0.1471 35 1 140 2
SiO2CF2(s) + CF2# → CO2 + CF2# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + CF2# → CO2 + CF2 #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + CF2# → SiOCF3(s) + CO + CF2# 0.1471 35 1 140 2
SiO2C2F4(s) + CF2# → SiOCF3(s) + COF + CF2# 0.1471 35 1 140 2
SiO2C3F5(s) + CF2# → SiO2CF(s) + C2F4 + CF2# 0.1471 35 1 140 2
251
SiO2C3F6(s) + CF2# → SiO2CF3(s) + C2F3 + CF2# 0.1471 35 1 140 2
SiO2CF*(s) + CF2# → CO2 + CF2# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + CF2# → CO2 + CF2 #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + CF2# → CO2 + CF2# + SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + CF2# → SiOCF3*(s) + CO + CF2# 0.1471 35 1 140 2
SiO2C2F4*(s) + CF2# → SiOCF3*(s) + COF + CF2# 0.1471 35 1 140 2
SiO2C3F5*(s) + CF2# → SiO2CF*(s) + C2F4 + CF2# 0.1471 35 1 140 2
SiO2C3F6*(s) + CF2# → SiO2CF3*(s) + C2F3 + CF2# 0.1471 35 1 140 2
SiO2CF(s) + CF+ → SiF + CO2 + CF# 0.1471 35 1 140 2
SiO2CF2(s) + CF+ → CO2 + CF# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + CF+ → CO2 + CF #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + CF+ → SiOCF3(s) + CO + CF# 0.1471 35 1 140 2
SiO2C2F4(s) + CF+ → SiOCF3(s) + COF + CF# 0.1471 35 1 140 2
SiO2C3F5(s) + CF+ → SiO2CF(s) + C2F4 + CF# 0.1471 35 1 140 2
SiO2C3F6(s) + CF+ → SiO2CF3(s) + C2F3 + CF# 0.1471 35 1 140 2
SiO2CF*(s) + CF+ → CO2 + CF# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + CF+ → CO2 + CF #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + CF+ → CO2 + CF #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + CF+ → SiOCF3*(s) + CO + CF# 0.1471 35 1 140 2
SiO2C2F4*(s) + CF+ → SiOCF3*(s) + COF + CF# 0.1471 35 1 140 2
SiO2C3F5*(s) + CF+ → SiO2CF*(s) + C2F4 + CF# 0.1471 35 1 140 2
SiO2C3F6*(s) + CF+ → SiO2CF3*(s) + C2F3 + CF# 0.1471 35 1 140 2
SiO2CF(s) + CF# → SiF + CO2 + CF# 0.1471 35 1 140 2
SiO2CF2(s) + CF# → CO2 + CF# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + CF# → CO2 + CF #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + CF# → SiOCF3(s) + CO + CF# 0.1471 35 1 140 2
SiO2C2F4(s) + CF# → SiOCF3(s) + COF + CF# 0.1471 35 1 140 2
SiO2C3F5(s) + CF# → SiO2CF(s) + C2F4 + CF# 0.1471 35 1 140 2
SiO2C3F6(s) + CF# → SiO2CF3(s) + C2F3 + CF# 0.1471 35 1 140 2
SiO2CF*(s) + CF# → CO2 + CF# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + CF# → CO2 + CF #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + CF# → CO2 + CF #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + CF# → SiOCF3*(s) + CO + CF# 0.1471 35 1 140 2
SiO2C2F4*(s) + CF# → SiOCF3*(s) + COF + CF# 0.1471 35 1 140 2
SiO2C3F5*(s) + CF# → SiO2CF*(s) + C2F4 + CF# 0.1471 35 1 140 2
SiO2C3F6*(s) + CF# → SiO2CF3*(s) + C2F3 + CF# 0.1471 35 1 140 2
SiO2CF(s) + F+ → SiF + CO2 + F# 0.1471 35 1 140 2
SiO2CF2(s) + F+ → CO2 + F# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + F+ → CO2 +F #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + F+ → SiOCF3(s) + CO + F# 0.1471 35 1 140 2
SiO2C2F4(s) + F+ → SiOCF3(s) + COF + F# 0.1471 35 1 140 2
252
SiO2C3F5(s) + F+ → SiO2CF(s) + C2F4 + F# 0.1471 35 1 140 2
SiO2C3F6(s) + F+ → SiO2CF3(s) + C2F3 + F# 0.1471 35 1 140 2
SiO2CF*(s) + F+ → CO2 +F #
+ SiF 0.1471 35 1 140 2
SiO2CF2*(s) + F+ → CO2 + F# + SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + F+ → CO2 +F #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + F+ → SiOCF3*(s) + CO + F# 0.1471 35 1 140 2
SiO2C2F4*(s) + F+ → SiOCF3*(s) + COF + F# 0.1471 35 1 140 2
SiO2C3F5*(s) + F+ → SiO2CF*(s) + C2F4 + F# 0.1471 35 1 140 2
SiO2C3F6*(s) + F+ → SiO2CF3*(s) + C2F3 + F# 0.1471 35 1 140 2
SiO2CF(s) + F# → SiF + CO2 + F# 0.1471 35 1 140 2
SiO2CF2(s) + F# → CO2 +F #
+ SiF2 0.1471 35 1 140 2
SiO2CF3(s) + F# → CO2 + F# + SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + F# → SiOCF3(s) + CO + F# 0.1471 35 1 140 2
SiO2C2F4(s) + F# → SiOCF3(s) + COF + F# 0.1471 35 1 140 2
SiO2C3F5(s) + F# → SiO2CF(s) + C2F4 + F# 0.1471 35 1 140 2
SiO2C3F6(s) + F# → SiO2CF3(s) + C2F3 + F# 0.1471 35 1 140 2
SiO2CF*(s) + F# → CO2 + F# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + F# → CO2 +F #
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + F# → CO2 +F #
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + F# → SiOCF3*(s) + CO + F# 0.1471 35 1 140 2
SiO2C2F4*(s) + F# → SiOCF3*(s) + COF + F# 0.1471 35 1 140 2
SiO2C3F5*(s) + F# → SiO2CF*(s) + C2F4 + F# 0.1471 35 1 140 2
SiO2C3F6*(s) + F# → SiO2CF3*(s) + C2F3 + F# 0.1471 35 1 140 2
SiO2CF(s) + F2+ → SiF + CO2 + F2# 0.1471 35 1 140 2
SiO2CF2(s) + F2+ → CO2 + F2# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + F2+ → CO2 + F2#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + F2+ → SiOCF3(s) + CO + F2# 0.1471 35 1 140 2
SiO2C2F4(s) + F2+ → SiOCF3(s) + COF + F2# 0.1471 35 1 140 2
SiO2C3F5(s) + F2+ → SiO2CF(s) + C2F4 + F2# 0.1471 35 1 140 2
SiO2C3F6(s) + F2+ → SiO2CF3(s) + C2F3 + F2# 0.1471 35 1 140 2
SiO2CF*(s) + F2+ → CO2 + F2# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + F2+ → CO2 + F2#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + F2+ → CO2 + F2#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + F2+ → SiOCF3*(s) + CO + F2# 0.1471 35 1 140 2
SiO2C2F4*(s) + F2+ → SiOCF3*(s) + COF + F2# 0.1471 35 1 140 2
SiO2C3F5*(s) + F2+ → SiO2CF*(s) + C2F4 + F2# 0.1471 35 1 140 2
SiO2C3F6*(s) + F2+ → SiO2CF3*(s) + C2F3 + F2# 0.1471 35 1 140 2
SiO2CF(s) + F2# → SiF + CO2 + F2# 0.1471 35 1 140 2
SiO2CF2(s) + F2# → CO2 + F2# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + F2# → CO2 + F2#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + F2# → SiOCF3(s) + CO + F2# 0.1471 35 1 140 2
253
SiO2C2F4(s) + F2# → SiOCF3(s) + COF + F2# 0.1471 35 1 140 2
SiO2C3F5(s) + F2# → SiO2CF(s) + C2F4 + F2# 0.1471 35 1 140 2
SiO2C3F6(s) + F2# → SiO2CF3(s) + C2F3 + F2# 0.1471 35 1 140 2
SiO2CF*(s) + F2# → CO2 + F2# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + F2# → CO2 + F2#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + F2# → CO2 + F2#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + F2# → SiOCF3*(s) + CO + F2# 0.1471 35 1 140 2
SiO2C2F4*(s) + F2# → SiOCF3*(s) + COF + F2# 0.1471 35 1 140 2
SiO2C3F5*(s) + F2# → SiO2CF*(s) + C2F4 + F2# 0.1471 35 1 140 2
SiO2C3F6*(s) + F2# → SiO2CF3*(s) + C2F3 + F2# 0.1471 35 1 140 2
SiO2CF(s) + C2F5+ → SiF + CO2 + C2F5# 0.1471 35 1 140 2
SiO2CF2(s) + C2F5+ → CO2 + C2F5# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C2F5+ → CO2 + C2F5#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C2F5+ → SiOCF3(s) + CO + C2F5# 0.1471 35 1 140 2
SiO2C2F4(s) + C2F5+ → SiOCF3(s) + COF + C2F5# 0.1471 35 1 140 2
SiO2C3F5(s) + C2F5+ → SiO2CF(s) + C2F4 + C2F5# 0.1471 35 1 140 2
SiO2C3F6(s) + C2F5+ → SiO2CF3(s) + C2F3 + C2F5# 0.1471 35 1 140 2
SiO2CF*(s) + C2F5+ → CO2 + C2F5# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C2F5+ → CO2 + C2F5#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C2F5+ → CO2 + C2F5# + SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C2F5+ → SiOCF3*(s) + CO + C2F5# 0.1471 35 1 140 2
SiO2C2F4*(s) + C2F5+ → SiOCF3*(s) + COF + C2F5# 0.1471 35 1 140 2
SiO2C3F5*(s) + C2F5+ → SiO2CF*(s) + C2F4 + C2F5# 0.1471 35 1 140 2
SiO2C3F6*(s) + C2F5+ → SiO2CF3*(s) + C2F3 + C2F5# 0.1471 35 1 140 2
SiO2CF(s) + C2F5# → SiF + CO2 + C2F5# 0.1471 35 1 140 2
SiO2CF2(s) + C2F5# → CO2 + C2F5# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C2F5# → CO2 + C2F5#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C2F5# → SiOCF3(s) + CO + C2F5# 0.1471 35 1 140 2
SiO2C2F4(s) + C2F5# → SiOCF3(s) + COF + C2F5# 0.1471 35 1 140 2
SiO2C3F5(s) + C2F5# → SiO2CF(s) + C2F4 + C2F5# 0.1471 35 1 140 2
SiO2C3F6(s) + C2F5# → SiO2CF3(s) + C2F3 + C2F5# 0.1471 35 1 140 2
SiO2CF*(s) + C2F5# → CO2 + C2F5# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C2F5# → CO2 + C2F5#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C2F5# → CO2 + C2F5#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C2F5# → SiOCF3*(s) + CO + C2F5# 0.1471 35 1 140 2
SiO2C2F4*(s) + C2F5# → SiOCF3*(s) + COF + C2F5# 0.1471 35 1 140 2
SiO2C3F5*(s) + C2F5# → SiO2CF*(s) + C2F4 + C2F5# 0.1471 35 1 140 2
SiO2C3F6*(s) + C2F5# → SiO2CF3*(s) + C2F3 + C2F5# 0.1471 35 1 140 2
SiO2CF(s) + C2F4+ → SiF + CO2 + C2F4# 0.1471 35 1 140 2
SiO2CF2(s) + C2F4+ → CO2 + C2F4# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C2F4+ → CO2 + C2F4#
+ SiF3 0.1471 35 1 140 2
254
SiO2C2F3(s) + C2F4+ → SiOCF3(s) + CO + C2F4# 0.1471 35 1 140 2
SiO2C2F4(s) + C2F4+ → SiOCF3(s) + COF + C2F4# 0.1471 35 1 140 2
SiO2C3F5(s) + C2F4+ → SiO2CF(s) + C2F4 + C2F4# 0.1471 35 1 140 2
SiO2C3F6(s) + C2F4+ → SiO2CF3(s) + C2F3 + C2F4# 0.1471 35 1 140 2
SiO2CF*(s) + C2F4+ → CO2 + C2F4# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C2F4+ → CO2 + C2F4#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C2F4+ → CO2 + C2F4#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C2F4+ → SiOCF3*(s) + CO + C2F4# 0.1471 35 1 140 2
SiO2C2F4*(s) + C2F4+ → SiOCF3*(s) + COF + C2F4# 0.1471 35 1 140 2
SiO2C3F5*(s) + C2F4+ → SiO2CF*(s) + C2F4 + C2F4# 0.1471 35 1 140 2
SiO2C3F6*(s) + C2F4+ → SiO2CF3*(s) + C2F3 + C2F4# 0.1471 35 1 140 2
SiO2CF(s) + C2F4# → SiF + CO2 + C2F4# 0.1471 35 1 140 2
SiO2CF2(s) + C2F4# → CO2 + C2F4# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C2F4# → CO2 + C2F4#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C2F4# → SiOCF3(s) + CO + C2F4# 0.1471 35 1 140 2
SiO2C2F4(s) + C2F4# → SiOCF3(s) + COF + C2F4# 0.1471 35 1 140 2
SiO2C3F5(s) + C2F4# → SiO2CF(s) + C2F4 + C2F4# 0.1471 35 1 140 2
SiO2C3F6(s) + C2F4# → SiO2CF3(s) + C2F3 + C2F4# 0.1471 35 1 140 2
SiO2CF*(s) + C2F4# → CO2 + C2F4#
+ SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C2F4# → CO2 + C2F4# + SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C2F4# → CO2 + C2F4#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C2F4# → SiOCF3*(s) + CO + C2F4# 0.1471 35 1 140 2
SiO2C2F4*(s) + C2F4# → SiOCF3*(s) + COF + C2F4# 0.1471 35 1 140 2
SiO2C3F5*(s) + C2F4# → SiO2CF*(s) + C2F4 + C2F4# 0.1471 35 1 140 2
SiO2C3F6*(s) + C2F4# → SiO2CF3*(s) + C2F3 + C2F4# 0.1471 35 1 140 2
SiO2CF(s) + C2F3+ → SiF + CO2 + C2F3# 0.1471 35 1 140 2
SiO2CF2(s) + C2F3+ → CO2 + C2F3#
+ SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C2F3+ → CO2 + C2F3# + SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C2F3+ → SiOCF3(s) + CO + C2F3# 0.1471 35 1 140 2
SiO2C2F4(s) + C2F3+ → SiOCF3(s) + COF + C2F3# 0.1471 35 1 140 2
SiO2C3F5(s) + C2F3+ → SiO2CF(s) + C2F4 + C2F3# 0.1471 35 1 140 2
SiO2C3F6(s) + C2F3+ → SiO2CF3(s) + C2F3 + C2F3# 0.1471 35 1 140 2
SiO2CF*(s) + C2F3+ → CO2 + C2F3# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C2F3+ → CO2 + C2F3#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C2F3+ → CO2 + C2F3#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C2F3+ → SiOCF3*(s) + CO + C2F3# 0.1471 35 1 140 2
SiO2C2F4*(s) + C2F3+ → SiOCF3*(s) + COF + C2F3# 0.1471 35 1 140 2
SiO2C3F5*(s) + C2F3+ → SiO2CF*(s) + C2F4 + C2F3# 0.1471 35 1 140 2
SiO2C3F6*(s) + C2F3+ → SiO2CF3*(s) + C2F3 + C2F3# 0.1471 35 1 140 2
SiO2CF(s) + C2F3# → SiF + CO2 + C2F3# 0.1471 35 1 140 2
SiO2CF2(s) + C2F3# → CO2 + C2F3# + SiF2 0.1471 35 1 140 2
255
SiO2CF3(s) + C2F3# → CO2 + C2F3# + SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C2F3# → SiOCF3(s) + CO + C2F3# 0.1471 35 1 140 2
SiO2C2F4(s) + C2F3# → SiOCF3(s) + COF + C2F3# 0.1471 35 1 140 2
SiO2C3F5(s) + C2F3# → SiO2CF(s) + C2F4 + C2F3# 0.1471 35 1 140 2
SiO2C3F6(s) + C2F3# → SiO2CF3(s) + C2F3 + C2F3# 0.1471 35 1 140 2
SiO2CF*(s) + C2F3# → CO2 + C2F3# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C2F3# → CO2 + C2F3#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C2F3# → CO2 + C2F3#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C2F3# → SiOCF3*(s) + CO + C2F3# 0.1471 35 1 140 2
SiO2C2F4*(s) + C2F3# → SiOCF3*(s) + COF + C2F3# 0.1471 35 1 140 2
SiO2C3F5*(s) + C2F3# → SiO2CF*(s) + C2F4 + C2F3# 0.1471 35 1 140 2
SiO2C3F6*(s) + C2F3# → SiO2CF3*(s) + C2F3 + C2F3# 0.1471 35 1 140 2
SiO2CF(s) + C3F5+ → SiF + CO2 + C3F5# 0.1471 35 1 140 2
SiO2CF2(s) + C3F5+ → CO2 + C3F5# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C3F5+ → CO2 + C3F5#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C3F5+ → SiOCF3(s) + CO + C3F5# 0.1471 35 1 140 2
SiO2C2F4(s) + C3F5+ → SiOCF3(s) + COF + C3F5# 0.1471 35 1 140 2
SiO2C3F5(s) + C3F5+ → SiO2CF(s) + C2F4 + C3F5# 0.1471 35 1 140 2
SiO2C3F6(s) + C3F5+ → SiO2CF3(s) + C2F3 + C3F5# 0.1471 35 1 140 2
SiO2CF*(s) + C3F5+ → CO2 + C3F5# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C3F5+ → CO2 + C3F5#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C3F5+ → CO2 + C3F5#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C3F5+ → SiOCF3*(s) + CO + C3F5# 0.1471 35 1 140 2
SiO2C2F4*(s) + C3F5+ → SiOCF3*(s) + COF + C3F5# 0.1471 35 1 140 2
SiO2C3F5*(s) + C3F5+ → SiO2CF*(s) + C2F4 + C3F5# 0.1471 35 1 140 2
SiO2C3F6*(s) + C3F5+ → SiO2CF3*(s) + C2F3 + C3F5# 0.1471 35 1 140 2
SiO2CF(s) + C3F5# → SiF + CO2 + C3F5# 0.1471 35 1 140 2
SiO2CF2(s) + C3F5# → CO2 + C3F5# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C3F5# → CO2 + C3F5#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C3F5# → SiOCF3(s) + CO + C3F5# 0.1471 35 1 140 2
SiO2C2F4(s) + C3F5# → SiOCF3(s) + COF + C3F5# 0.1471 35 1 140 2
SiO2C3F5(s) + C3F5# → SiO2CF(s) + C2F4 + C3F5# 0.1471 35 1 140 2
SiO2C3F6(s) + C3F5# → SiO2CF3(s) + C2F3 + C3F5# 0.1471 35 1 140 2
SiO2CF*(s) + C3F5# → CO2 + C3F5# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C3F5# → CO2 + C3F5#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C3F5# → CO2 + C3F5# + SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C3F5# → SiOCF3*(s) + CO + C3F5# 0.1471 35 1 140 2
SiO2C2F4*(s) + C3F5# → SiOCF3*(s) + COF + C3F5# 0.1471 35 1 140 2
SiO2C3F5*(s) + C3F5# → SiO2CF*(s) + C2F4 + C3F5# 0.1471 35 1 140 2
SiO2C3F6*(s) + C3F5# → SiO2CF3*(s) + C2F3 + C3F5# 0.1471 35 1 140 2
SiO2CF(s) + C3F6+ → SiF + CO2 + C3F6# 0.1471 35 1 140 2
256
SiO2CF2(s) + C3F6+ → CO2 + C3F6# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C3F6+ → CO2 + C3F6#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C3F6+ → SiOCF3(s) + CO + C3F6# 0.1471 35 1 140 2
SiO2C2F4(s) + C3F6+ → SiOCF3(s) + COF + C3F6# 0.1471 35 1 140 2
SiO2C3F5(s) + C3F6+ → SiO2CF(s) + C2F4 + C3F6# 0.1471 35 1 140 2
SiO2C3F6(s) + C3F6+ → SiO2CF3(s) + C2F3 + C3F6# 0.1471 35 1 140 2
SiO2CF*(s) + C3F6+ → CO2 + C3F6# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C3F6+ → CO2 + C3F6#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C3F6+ → CO2 + C3F6#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C3F6+ → SiOCF3*(s) + CO + C3F6# 0.1471 35 1 140 2
SiO2C2F4*(s) + C3F6+ → SiOCF3*(s) + COF + C3F6# 0.1471 35 1 140 2
SiO2C3F5*(s) + C3F6+ → SiO2CF*(s) + C2F4 + C3F6# 0.1471 35 1 140 2
SiO2C3F6*(s) + C3F6+ → SiO2CF3*(s) + C2F3 + C3F6# 0.1471 35 1 140 2
SiO2CF(s) + C3F6# → SiF + CO2 + C3F6# 0.1471 35 1 140 2
SiO2CF2(s) + C3F6# → CO2 + C3F6# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C3F6# → CO2 + C3F6#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C3F6# → SiOCF3(s) + CO + C3F6# 0.1471 35 1 140 2
SiO2C2F4(s) + C3F6# → SiOCF3(s) + COF + C3F6# 0.1471 35 1 140 2
SiO2C3F5(s) + C3F6# → SiO2CF(s) + C2F4 + C3F6# 0.1471 35 1 140 2
SiO2C3F6(s) + C3F6# → SiO2CF3(s) + C2F3 + C3F6# 0.1471 35 1 140 2
SiO2CF*(s) + C3F6# → CO2 + C3F6# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C3F6# → CO2 + C3F6#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C3F6# → CO2 + C3F6#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C3F6# → SiOCF3*(s) + CO + C3F6# 0.1471 35 1 140 2
SiO2C2F4*(s) + C3F6# → SiOCF3*(s) + COF + C3F6# 0.1471 35 1 140 2
SiO2C3F5*(s) + C3F6# → SiO2CF*(s) + C2F4 + C3F6# 0.1471 35 1 140 2
SiO2C3F6*(s) + C3F6# → SiO2CF3*(s) + C2F3 + C3F6# 0.1471 35 1 140 2
SiO2CF(s) + C3F7+ → SiF + CO2 + C3F7# 0.1471 35 1 140 2
SiO2CF2(s) + C3F7+ → CO2 + C3F7# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C3F7+ → CO2 + C3F7#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C3F7+ → SiOCF3(s) + CO + C3F7# 0.1471 35 1 140 2
SiO2C2F4(s) + C3F7+ → SiOCF3(s) + COF + C3F7# 0.1471 35 1 140 2
SiO2C3F5(s) + C3F7+ → SiO2CF(s) + C2F4 + C3F7# 0.1471 35 1 140 2
SiO2C3F6(s) + C3F7+ → SiO2CF3(s) + C2F3 + C3F7# 0.1471 35 1 140 2
SiO2CF*(s) + C3F7+ → CO2 + C3F7#
+ SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C3F7+ → CO2 + C3F7# + SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C3F7+ → CO2 + C3F7#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C3F7+ → SiOCF3*(s) + CO + C3F7# 0.1471 35 1 140 2
SiO2C2F4*(s) + C3F7+ → SiOCF3*(s) + COF + C3F7# 0.1471 35 1 140 2
SiO2C3F5*(s) + C3F7+ → SiO2CF*(s) + C2F4 + C3F7# 0.1471 35 1 140 2
SiO2C3F6*(s) + C3F7+ → SiO2CF3*(s) + C2F3 + C3F7# 0.1471 35 1 140 2
257
SiO2CF(s) + C3F7# → SiF + CO2 + C3F7# 0.1471 35 1 140 2
SiO2CF2(s) + C3F7# → CO2 + C3F7# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C3F7# → CO2 + C3F7#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C3F7# → SiOCF3(s) + CO + C3F7# 0.1471 35 1 140 2
SiO2C2F4(s) + C3F7# → SiOCF3(s) + COF + C3F7# 0.1471 35 1 140 2
SiO2C3F5(s) + C3F7# → SiO2CF(s) + C2F4 + C3F7# 0.1471 35 1 140 2
SiO2C3F6(s) + C3F7# → SiO2CF3(s) + C2F3 + C3F7# 0.1471 35 1 140 2
SiO2CF*(s) + C3F7# → CO2 + C3F7# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C3F7# → CO2 + C3F7#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C3F7# → CO2 + C3F7#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C3F7# → SiOCF3*(s) + CO + C3F7# 0.1471 35 1 140 2
SiO2C2F4*(s) + C3F7# → SiOCF3*(s) + COF + C3F7# 0.1471 35 1 140 2
SiO2C3F5*(s) + C3F7# → SiO2CF*(s) + C2F4 + C3F7# 0.1471 35 1 140 2
SiO2C3F6*(s) + C3F7# → SiO2CF3*(s) + C2F3 + C3F7# 0.1471 35 1 140 2
SiO2CF(s) + C4F7+ → SiF + CO2 + C4F7# 0.1471 35 1 140 2
SiO2CF2(s) + C4F7+ → CO2 + C4F7# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C4F7+ → CO2 + C4F7#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C4F7+ → SiOCF3(s) + CO + C4F7# 0.1471 35 1 140 2
SiO2C2F4(s) + C4F7+ → SiOCF3(s) + COF + C4F7# 0.1471 35 1 140 2
SiO2C3F5(s) + C4F7+ → SiO2CF(s) + C2F4 + C4F7# 0.1471 35 1 140 2
SiO2C3F6(s) + C4F7+ → SiO2CF3(s) + C2F3 + C4F7# 0.1471 35 1 140 2
SiO2CF*(s) + C4F7+ → CO2 + C4F7# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C4F7+ → CO2 + C4F7#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C4F7+ → CO2 + C4F7#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C4F7+ → SiOCF3*(s) + CO + C4F7# 0.1471 35 1 140 2
SiO2C2F4*(s) + C4F7+ → SiOCF3*(s) + COF + C4F7# 0.1471 35 1 140 2
SiO2C3F5*(s) + C4F7+ → SiO2CF*(s) + C2F4 + C4F7# 0.1471 35 1 140 2
SiO2C3F6*(s) + C4F7+ → SiO2CF3*(s) + C2F3 + C4F7# 0.1471 35 1 140 2
SiO2CF(s) + C4F7# → SiF + CO2 + C4F7# 0.1471 35 1 140 2
SiO2CF2(s) + C4F7# → CO2 + C4F7# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C4F7# → CO2 + C4F7#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C4F7# → SiOCF3(s) + CO + C4F7# 0.1471 35 1 140 2
SiO2C2F4(s) + C4F7# → SiOCF3(s) + COF + C4F7# 0.1471 35 1 140 2
SiO2C3F5(s) + C4F7# → SiO2CF(s) + C2F4 + C4F7# 0.1471 35 1 140 2
SiO2C3F6(s) + C4F7# → SiO2CF3(s) + C2F3 + C4F7# 0.1471 35 1 140 2
SiO2CF*(s) + C4F7# → CO2 + C4F7# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C4F7# → CO2 + C4F7#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C4F7# → CO2 + C4F7#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C4F7# → SiOCF3*(s) + CO + C4F7# 0.1471 35 1 140 2
SiO2C2F4*(s) + C4F7# → SiOCF3*(s) + COF + C4F7# 0.1471 35 1 140 2
SiO2C3F5*(s) + C4F7# → SiO2CF*(s) + C2F4 + C4F7# 0.1471 35 1 140 2
258
SiO2C3F6*(s) + C4F7# → SiO2CF3*(s) + C2F3 + C4F7# 0.1471 35 1 140 2
SiO2CF(s) + C4F8+ → SiF + CO2 + C4F8# 0.1471 35 1 140 2
SiO2CF2(s) + C4F8+ → CO2 + C4F8#
+ SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C4F8+ → CO2 + C4F8# + SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C4F8+ → SiOCF3(s) + CO + C4F8# 0.1471 35 1 140 2
SiO2C2F4(s) + C4F8+ → SiOCF3(s) + COF + C4F8# 0.1471 35 1 140 2
SiO2C3F5(s) + C4F8+ → SiO2CF(s) + C2F4 + C4F8# 0.1471 35 1 140 2
SiO2C3F6(s) + C4F8+ → SiO2CF3(s) + C2F3 + C4F8# 0.1471 35 1 140 2
SiO2CF*(s) + C4F8+ → CO2 + C4F8# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C4F8+ → CO2 + C4F8#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C4F8+ → CO2 + C4F8#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C4F8+ → SiOCF3*(s) + CO + C4F8# 0.1471 35 1 140 2
SiO2C2F4*(s) + C4F8+ → SiOCF3*(s) + COF + C4F8# 0.1471 35 1 140 2
SiO2C3F5*(s) + C4F8+ → SiO2CF*(s) + C2F4 + C4F8# 0.1471 35 1 140 2
SiO2C3F6*(s) + C4F8+ → SiO2CF3*(s) + C2F3 + C4F8# 0.1471 35 1 140 2
SiO2CF(s) + C4F8# → SiF + CO2 + C4F8# 0.1471 35 1 140 2
SiO2CF2(s) + C4F8# → CO2 + C4F8# + SiF2 0.1471 35 1 140 2
SiO2CF3(s) + C4F8# → CO2 + C4F8#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3(s) + C4F8# → SiOCF3(s) + CO + C4F8# 0.1471 35 1 140 2
SiO2C2F4(s) + C4F8# → SiOCF3(s) + COF + C4F8# 0.1471 35 1 140 2
SiO2C3F5(s) + C4F8# → SiO2CF(s) + C2F4 + C4F8# 0.1471 35 1 140 2
SiO2C3F6(s) + C4F8# → SiO2CF3(s) + C2F3 + C4F8# 0.1471 35 1 140 2
SiO2CF*(s) + C4F8# → CO2 + C4F8# + SiF 0.1471 35 1 140 2
SiO2CF2*(s) + C4F8# → CO2 + C4F8#
+ SiF2 0.1471 35 1 140 2
SiO2CF3*(s) + C4F8# → CO2 + C4F8#
+ SiF3 0.1471 35 1 140 2
SiO2C2F3*(s) + C4F8# → SiOCF3*(s) + CO + C4F8# 0.1471 35 1 140 2
SiO2C2F4*(s) + C4F8# → SiOCF3*(s) + COF + C4F8# 0.1471 35 1 140 2
SiO2C3F5*(s) + C4F8# → SiO2CF*(s) + C2F4 + C4F8# 0.1471 35 1 140 2
SiO2C3F6*(s) + C4F8# → SiO2CF3*(s) + C2F3 + C4F8# 0.1471 35 1 140 2
SiOCF3(s) + Ar+ → Ar# + CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + Ar# → Ar #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + CF3+ → CF3 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + CF3# → CF3 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + CF2+ → CF2 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + CF2# → CF2 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + CF+ → CF# + CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + CF# → CF# + CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + F+ → F#
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + F# → F#
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + F2+ → F2 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + F2# → F2 #
+ CO + SiF3 0.1471 35 1 140 2
259
SiOCF3(s) + C2F5+ → C2F5# + CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C2F5# → C2F5 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C2F4+ → C2F4 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C2F4# → C2F4# + CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C2F3+ → C2F3 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C2F3# → C2F3 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C3F5+ → C3F5 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C3F5# → C3F5 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C3F6+ → C3F6 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C3F6# → C3F6 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C3F7+ → C3F7 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C3F7# → C3F7# + CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C4F7+ → C4F7 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C4F7# → C4F7 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C4F8+ → C4F8 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + C4F8# → C4F8 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + O+ → O #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + O# → O #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + O2+ → O2 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3(s) + O2# → O2# + CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + Ar+ → Ar #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + Ar# → Ar #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + CF3+ → CF3 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + CF3# → CF3 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + CF2+ → CF2 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + CF2# → CF2 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + CF+ → CF #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + CF# → CF# + CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + F+ → F#
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + F# → F#
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + F2+ → F2 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + F2# → F2 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C2F5+ → C2F5 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C2F5# → C2F5 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C2F4+ → C2F4 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C2F4# → C2F4# + CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C2F3+ → C2F3 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C2F3# → C2F3 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C3F5+ → C3F5 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C3F5# → C3F5 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C3F6+ → C3F6 #
+ CO + SiF3 0.1471 35 1 140 2
260
SiOCF3*(s) + C3F6# → C3F6# + CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C3F7+ → C3F7 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C3F7# → C3F7 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C4F7+ → C4F7# + CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C4F7# → C4F7 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C4F8+ → C4F8 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + C4F8# → C4F8 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + O+ → O #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + O# → O #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + O2+ → O2 #
+ CO + SiF3 0.1471 35 1 140 2
SiOCF3*(s) + O2# → O2 #
+ CO + SiF3 0.1471 35 1 140 2
SiO2CF(s) + F → SiO2CF2(s) 0.1
SiO2CF*(s) + F → SiO2CF2*(s) 0.1
SiO2CF2(s) + F → SiO2CF3(s) 0.1
SiO2CF2*(s) + F → SiO2CF3*(s) 0.1
SiO2C2F3(s) + F → SiO2C2F4(s) 0.1
SiO2C2F3*(s) + F → SiO2C2F4*(s) 0.1
SiO2C3F5(s) + F → SiO2C3F6(s) 0.1
SiO2C3F5*(s) + F → SiO2C3F6*(s) 0.1
SiO2CF(s) + CF → SiO2C2F3(s) 0.0002
SiO2CF*(s) + CF → SiO2CF*(s) + CF(s) 0.002
SiO2CF2(s) + CF → SiO2C2F3(s) 0.0002
SiO2CF2*(s) + CF → SiO2CF2*(s) + CF(s) 0.002
SiO2CF3(s) + CF → SiO2C2F4(s) 0.0002
SiO2CF3*(s) + CF → SiO2CF3*(s) + CF(s) 0.002
SiO2C2F3(s) + CF → SiO2C3F5(s) 0.002
SiO2C2F3*(s) + CF → SiO2C2F3*(s) + CF(s) 0.002
SiO2C2F4(s) + CF → SiO2C3F5(s) 0.0002
SiO2C2F4*(s) + CF → SiO2C2F4*(s) + CF(s) 0.002
SiO2C3F5*(s) + CF → SiO2C3F5*(s) + CF(s) 0.002
SiO2C3F6*(s) + CF → SiO2C3F6*(s) + CF(s) 0.002
SiOCF3(s) + CF → SiOCF3(s) + CF(s) 0.002
SiOCF3*(s) + CF → SiOCF3*(s) + CF(s) 0.002
SiO2CF(s) + CF2 → SiO2C2F3(s) 0.00015
SiO2CF*(s) + CF2 → SiO2CF*(s) + CF2(s) 0.0015
SiO2CF2(s) + CF2 → SiO2C2F4(s) 0.00015
SiO2CF2*(s) + CF2 → SiO2CF2*(s) + CF2(s) 0.0015
SiO2CF3(s) + CF2 → SiO2C2F4(s) 0.00015
SiO2CF3*(s) + CF2 → SiO2CF3*(s) + CF2(s) 0.0015
SiO2C2F3(s) + CF2 → SiO2C3F5(s) 0.00015
SiO2C2F3*(s) + CF2 → SiO2C2F3*(s) + CF2(s) 0.0015
261
SiO2C2F4(s) + CF2 → SiO2C3F6(s) 0.00015
SiO2C2F4*(s) + CF2 → SiO2C2F4*(s) + CF2(s) 0.0015
SiO2C3F5*(s) + CF2 → SiO2C3F5*(s) + CF2(s) 0.0015
SiO2C3F6*(s) + CF2 → SiO2C3F6*(s) + CF2(s) 0.0015
SiOCF3(s) + CF2 → SiOCF3(s) + CF2(s) 0.0015
SiOCF3*(s) + CF2 → SiOCF3*(s) + CF2(s) 0.0015
SiO2CF(s) + CF3 → SiO2C2F4(s) 0.0001
SiO2CF*(s) + CF3 → SiO2CF*(s) + CF3(s) 0.001
SiO2CF2(s) + CF3 → SiO2C2F4(s) 0.0001
SiO2CF2*(s) + CF3 → SiO2CF2*(s) + CF3(s) 0.001
SiO2CF3(s) + CF3 → SiO2C2F4(s) 0.0001
SiO2CF3*(s) + CF3 → SiO2CF3*(s) + CF3(s) 0.001
SiO2C2F3(s) + CF3 → SiO2C3F6(s) 0.0001
SiO2C2F3*(s) + CF3 → SiO2C2F3*(s) + CF3(s) 0.001
SiO2C2F4*(s) + CF3 → SiO2C2F4*(s) + CF3(s) 0.001
SiO2C3F5*(s) + CF3 → SiO2C3F5*(s) + CF3(s) 0.001
SiO2C3F6*(s) + CF3 → SiO2C3F6*(s) + CF3(s) 0.001
SiOCF3(s) + CF3 → SiOCF3(s) + CF3(s) 0.001
SiOCF3*(s) + CF3 → SiOCF3*(s) + CF3(s) 0.001
SiO2CF(s) + C2F3 → SiO2C3F5(s) 0.0001
SiO2CF*(s) + C2F3 → SiO2CF*(s) + C2F3(s) 0.001
SiO2CF2(s) + C2F3 → SiO2C3F5(s) 0.0001
SiO2CF2*(s) + C2F3 → SiO2CF2*(s) + C2F3(s) 0.001
SiO2CF3(s) + C2F3 → SiO2C3F6(s) 0.0001
SiO2CF3*(s) + C2F3 → SiO2CF3*(s) + C2F3(s) 0.001
SiO2C2F3*(s) + C2F3 → SiO2C2F3*(s) + C2F3(s) 0.001
SiO2C2F4*(s) + C2F3 → SiO2C2F4*(s) + C2F3(s) 0.001
SiO2C3F5*(s) + C2F3 → SiO2C3F5*(s) + C2F3(s) 0.001
SiO2C3F6*(s) + C2F3 → SiO2C3F6*(s) + C2F3(s) 0.001
SiOCF3(s) + C2F3 → SiOCF3(s) + C2F3(s) 0.001
SiOCF3*(s) + C2F3 → SiOCF3*(s) + C2F3(s) 0.001
B.1 References
[1] J. D. Kress, ; D E Hanson, ; A F Voter, ; C L Liu, X.-Y. Liu, ; D G Coronell, ) D E Hanson,
A. F. Voter, C. L. Liu and D. G. Coronell, Journal of Vacuum Science & Technology A 17,
2819 (1999).
[2] J. P. Chang and H. H. Sawin, Journal of Vacuum Science & Technology A 15, 610 (1997).
262