0% found this document useful (0 votes)
23 views17 pages

ICC To ICCII Command Mapping 2016.03-SP5

Uploaded by

Susmitha S
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as DOCX, PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
23 views17 pages

ICC To ICCII Command Mapping 2016.03-SP5

Uploaded by

Susmitha S
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as DOCX, PDF, TXT or read online on Scribd
You are on page 1/ 17

IC Compiler IC Compiler II Category Comments

add_buffer_on_route add_buffer_on_route Closest match IC Compiler II does not have -no_eco_route and -no_legalize
IC Compiler II has -max_distance_to_route, -detect_layer, -snap_to_sites, -respect_gas_station, -respect_voltage_areas, -max_distance_for_incomplete_route add_clock_drivers create_clock_drivers Similar but not exactly the
same
Simplified usage model with new options All loads of input net are assigned to a single driver at the last level and supports clock gates as well add_distributed_hosts set_host_options Closest match Not applicable add_drc_error_detail create_drc_error_shapes
create_drc_error_data
Closest match
None of the options are supported; use the improved feature instead add_end_cap set_boundary_cell_rules compile_boundary_cells
Closest match Not required
add_multisource_drivers create_clock_drivers Similar but not exactly the
same
Simplified usage model with new options
add_open_drc_error_detail create_drc_error_shapes
create_drc_error_data
Closest match
None of the options are supported; use the improved feature instead add_pg_pin_to_db n/a No equivalent command Not applicable add_pg_pin_to_lib n/a No equivalent command Not applicable add_port_state add_port_state 1-1 mapping UPF command
add_power_state add_power_state 1-1 mapping UPF command
add_pst_state add_pst_state 1-1 mapping UPF command add_row No equivalent command Not supported add_tap_cell_array create_tap_cells Similar but not exactly the
same
Supported options are different: -master_cell_name is mapped to -lib_cell -pattern normal is mapped to -pattern every_row -tap_cell_identifier is mapped to -prefix -tap_cell_separator is mapped to -separator -no_tap_cell_under_layers is not supported -
well_port_name is not supported -well_net_name is not supported -substrate_port_name is not supported -substrate_net_name is not supported -connect_power_name is not supported -connect_ground_name is not supported -fill_boundary_row is not supported -
fill_macro_blockage_row is not supported -boundary_row_double_density is not supported -macro_blockage_row_double_density is not supported -plan_group is not supported -ignore_soft_blockage is not supported -remove_redundent_tap_cells is not supported
add_to_collection add_to_collection 1-1 mapping add_to_rp_group add_to_rp_group Closest match -alignment is mapped to -override_alignment
-hierarchy is mapped to -rp_group -keepout is mapped to -blockage -leaf is mapped to -cells
The following options are not supported:
-free_placement, -instance, -type (default type is hard blockage) adjust_fp_floorplan initialize_floorplan Similar but not exactly the
same
-core_aspect_ratio is mapped to -core_side_ratio -maintain_placement is mapped to -keep_macro_placement, -keep_std_cell_placement,
-keep_io_placement, and -keep_block_placement
The following options are not supported:
-bottom_io2core, -core_width, -core_height, -die_height, -die_origin, -die_width, -fc_in_core, -fc_periphery, -flip_first_row, -left_io2core, -min_pad_height, -no_double_back, -number_rows, -remove_filler_io, -right_io2core, -sm_utilization, -start_first_row, -
top_io2core, -use_vertical_row adjust_fp_io_placement set_signal_io_constraints;
add_to_io_guide; add_to_io_ring
Similar but not exactly the same
None of the options are supported; use the improved feature instead (set_signal_io_constraints, add_to_io_guide, add_to_io_ring)
adjust_premesh_connection No equivalent command Not required after after 1-1 mapping alias alias 1-1 mapping align_fp_pins align_pins Similar but not exactly the
same
The following options are not supported:
-align_with_child_hm_pins, -change_layer_width, -direction, -fixed, -order_type, -propagate_single_pins, -reference object align_objects align_objects Similar but not exactly the
same
-side does not support the l, r, t, b, hc, vc, ch, and keywords
The following options are not supported:
-keep_area, -ignore_fixed, -resize all_active_scenarios get_scenarios Closest match get_scenarios -filter active==true all_ao_cells get_cells Closest match get_cells -physical_context -filter (ref_block.always_on) all_bounds_of_cell get_bounds -of_objects cells
Similar but not exactly the
same
No option mapping
all_cells_in_bound get_cells -of_objects bounds Similar but not exactly the
same
No option mapping
all_clocks all_clocks Similar but not exactly the
same
Infastructure difference due to options such as -mode
all_connected all_connected 1-1 mapping all_connectivity_fanin all_transitive_fanin 1-1 mapping all_connectivity_fanout all_transitive_fanout 1-1 mapping all_critical_cells No equivalent command Not planned all_critical_pins No equivalent command Not planned
all_designs get_designs Similar but not exactly the
same
No option mapping
all_dont_touch get_cells or get_nets -hierarchical
-filter dont_touch==true
Similar but not exactly the same
all_dont_touch -nets is mapped to get_nets all_dont_touch -cells is mapped to get_cells all_drc_violated_nets No equivalent command Not supported all_fanin all_fanin Similar but not exactly the
same
Infastructure difference due to options such as -exclude
all_fanout all_fanout Similar but not exactly the
same
Infastructure difference due to options such as -step_into_hierarchy
all_fixed_placement get_cells or get_ports
-filter physical_status==fixed
Closest match all_fixed_placement -cells is mapped to get_cells
all_fixed_placement -ports is mapped to get_ports all_high_fanout all_high_transitive_fanout 1-1 mapping all_ideal_nets get_nets -hierarchical
-filter is_ideal==true
Similar but not exactly the same
No option mapping
all_inputs all_inputs 1-1 mapping all_isolation_cells get_cells Closest match get_cells -physical_context -filter (is_isolation) all_level_shifters get_cells Closest match get_cells -physical_context -filter (is_level_shifter) all_macro_cells get_cells -physical_context -filter
design_type==macro
Similar but not exactly the same
No option mapping
all_mtcmos_cells get_cells Closest match get_cells -physical_context -filter (is_power_switch) all_objects_in_bounding_box get_objects_by_location Similar but not exactly the
same
-cells is mapped to get_objects_by_location -classes cell -nets is mapped to get_objects_by_location -classes net -phys_cells and -flat are not supported -coordinates is mapped to get_objects_by_location -within objects argument is not supported; use -filter with
the name attribute all_outputs all_outputs 1-1 mapping all_physical_only_cells get_cells -physical_context
-filter is_physical_only==true
Similar but not exactly the same
-lib_cells and -cell_name are not supported, use filter_collection with the appropriate attribute; -coordinates is mapped to get_cells -touching all_physical_only_nets get_nets -hierarchical
-filter net_type==power || net_type==ground
Similar but not exactly the same
No option mapping
all_physical_only_ports get_ports -physical_context -filter port_type==power || port_type==ground
Similar but not exactly the same
No option mapping
IC Compiler IC Compiler II Category Comments
all_registers all_registers Similar but not exactly the
same
Infastructure difference due to options such as -async_pins
all_rp_groups get_rp_group_objects -hierarchical -
rp_group
Similar but not exactly the same
More options
all_rp_hierarchicals get_rp_groups Similar but not exactly the
same
Use –of_objects <rp_group> option
all_rp_inclusions get_rp_groups Similar but not exactly the
same
Use –of_objects <rp_group> option
all_rp_instantiations No equivalent command all_rp_references No equivalent command all_scenarios all_scenarios 1-1 mapping all_size_only_cells get_cells -hierarchical -filter
size_only==true
Similar but not exactly the same
No option mapping
all_spare_cells get_cells -hierarchical -filter
is_spare_cell==true
Similar but not exactly the same
No option mapping
all_threestate No equivalent command Not supported all_tieoff_cells No equivalent command Not supported allocate_fp_budgets compute_budget_constraints Similar but not exactly the
same
None of the options are supported; use the improved feature instead
analyze_design_violations analyze_design_violations 1-1 mapping analyze_fp_rail analyze_power_plan Closest match analyze_library No equivalent command analyze_logic_connectivity explore_logic_hierarchy Similar but not exactly the
same
None of the options are supported; use the improved feature instead
analyze_mv_design report_mv_path Similar but not exactly the
same
Similar use case, but command usage is different (path-based instead of design-based) -verbose is not applicable -always_on is not applicable; always-on treatment is different -from and -to are not applicable; path is automatically calculated from -pin or -net
analyze_rail No equivalent command Not applicable analyze_subcircuit analyze_subcircuit Similar but not exactly the
same
Simplified usage model
append append 1-1 mapping append_to_collection append_to_collection 1-1 mapping apply apply 1-1 mapping apply_fast_pba_analysis No equivalent command apropos apropos 1-1 mapping archive_design write_lib_package Closest match The options do not
match exactly due to the usage differences. The following options have similar usage:
-source is mapped to -library -design is mapped to -blocks -archive is mapped to output_file_name -exclude_ref_lib is mapped to -exclude_ref_libs Note: -library and -blocks are optional in IC Compiler II; if not specified, the current library or block is used array array
1-1 mapping assign_flip_chip_nets No equivalent command associate_mv_cells associate_mv_cells 1-1 mapping associate_supply_set associate_supply_set 1-1 mapping attributes? get_switching_activity No equivalent command balance_inter_clock_delay
balance_clock_groups Similar but not exactly the
same
Does not have any options and these are not required
binary binary 1-1 mapping break break 1-1 mapping calculate_caa_based_yield2db n/a No equivalent command Not applicable case case 1-1 mapping catch catch 1-1 mapping cd cd 1-1 mapping chan chan 1-1 mapping change_connection No equivalent
command Not applicable change_fp_soft_macro_to_black_box No equivalent command Automatically defined by the tool change_link change_link Similar but not exactly the
same
-view is not supported; use change_view in IC Compiler II -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option change_macro_view change_view Closest match -quiet option is not supported in IC Compiler II
The supported view names are different in IC Compiler and IC Compiler II change_names change_names Similar but not exactly the
same
New in K-2015.06-SP2 -names_file, -restore, and -skip_inactive_constraints are not supported yet change_selection change_selection 1-1 mapping change_via_master set_via_def Similar but not exactly the
same
New mapping in K-2015.06 -via_master is mapped to -via_def via argument is mapped to -vias change_working_design set_working_design Similar but not exactly the
same
-readonly and -discard are not supported
change_working_design_stack set_working_design_stack 1-1 mapping characterize No equivalent command check_block_abstraction check_hier_design 1-1 mapping IC Compiler II version is better; it works for both abstract and design view instances
Supported from K-2015.06-SP3
check_clock_tree check_clock_trees Similar but not exactly the
same
Does not support -solution_template and -solution_for options
check_database No equivalent command Will be supported in a future release check_design No equivalent command check_error No equivalent command Not applicable check_fp_budget_result report_budget Similar but not exactly the
same
None of the options are supported; use the improved feature instead
check_fp_pin_alignment check_pin_placement Similar but not exactly the
same
None of the options are supported; use the improved feature instead
check_fp_pin_assignment check_pin_placement Similar but not exactly the
same
None of the options are supported; use the improved feature instead
check_fp_rail analyze_power_plan Closest match -pad_masters is mapped to -pad_references
-read_default_power_file is mapped to -read_power_file -read_prime_power_file is mapped to -read_power_file -use_pins_as_pads is mapped to -use_terminals_as_pads -voltage_supply is mapped to -voltage
The following options are not supported:
-analyze_power, -create_virtual_rails, -ignore_blockages, -ignore_conn_view_layers, -output, -read_pad_instance_file, -read_pad_master_file, -read_power_compiler_file, -top_level_only check_fp_timing_environment No equivalent command Not required
check_freeze_silicon No equivalent command Not applicable check_interface_optimization_setup No equivalent command Will be supported in a future release; transparent interface optimization functionality does not exist yet in IC Compiler II.
check_isolation_cells check_mv_design Closest match check_mv_design includes isolation checking among other checks
Options are covered by default check_mv_design behavior check_legality check_legality 1-1 mapping check_level_shifters check_mv_design Closest match check_mv_design includes level shifter checking among other checks
Options are covered by default check_mv_design behavior check_library report_lib Similar but not exactly the
same
New feature in IC Compiler II and focus on physical properties
check_license check_license Similar but not exactly the
same
IC Compiler II accepts only one feature at a time, while IC Compiler accepts multiple features
check_mpc report_constraints Similar but not exactly the
same
None of the options are supported; use the improved feature instead
IC Compiler IC Compiler II Category Comments
check_mv_design check_mv_design Closest match Report formatting and some checks are different
-clock_gating_style is on-by-default -connection_rules is on-by-default -diode_cells is on-by-default -isolation is on-by-default -level_shifters is on-by-default -opcond_mismatches is on-by-default -power_nets is mapped to -power_connectivity -target_library_subset
is on-by-default
The following options are not supported:
-output, -verbose check_noise To be implemented - No
target yet
Not implemented yet
check_physical_constraints No equivalent command Not supported check_physical_design check_design Closest match Different usage model and most options are different check_primetime_icc_consistency_settings check_consistency_settings Similar but not
exactly the
same
IC Compiler II command provides better alignment with PrimeTime
check_rail analyze_power_plan Closest match check_reserved_placement_area report_placement* Similar but not exactly the
same
None of the options are supported; use the improved feature instead
check_route n/a No equivalent command Not applicable check_routeability n/a No equivalent command Not applicable check_rp_groups report_rp_groups Similar but not exactly the
same check_scan_chain check_scan_chain 1-1 mapping check_scenarios No equivalent command check_signoff_correlation No equivalent command Not applicable check_timing check_timing Similar but not exactly the
same
More functionality in IC Compiler II
check_tlu_plus_files No equivalent command Not applicable check_zrt_routability check_routability 1-1 mapping New options added in IC Compiler II:
-check_shield checks routing shapes related to the shielding flow ems: report the check results to the new message browser window clock_opt clock_opt Closest match IC Compiler II clock_opt has three stages using -from and -to control.
Most IC Compiler clock_opt options are mapped to application options or new stage control in IC Compiler II. For example,
-no_clock_route is mapped to skipping the second stage (route_clock) with -from and -to control -inter_clock_balance (perform interclock delay balancing) is mapped to calling balance_clock_groups after clock tree synthesis and optimization within the clock_opt
core command
-concurrent_clock_and_data is mapped to the clock_opt.flow.enable_ccd application option with a setting of true -update_clock_latency is not required; the clock_opt command automatically updates the clock latency after clock routing -only_psyn is mapped to
clock_opt -from final_opto -only_cts (perform only clock tree synthesis, clock tree optimization, and clock routing) is mapped to clock_opt -from build_clock -to route_clock
-optimize_dft is on-by-default
clock_opt_feasibility No equivalent command close close 1-1 mapping close_distributed_route n/a No equivalent command Not applicable close_mw_cel close_blocks Similar but not exactly the
same
-hierarchy is not supported -all_views is not supported -all_versions is not applicable close_mw_lib close_lib Similar but not exactly the
same
-save is mapped to -save_designs
collection_to_list No equivalent command Will be supported in a future release commit_fp_group_block_ring commit_block Similar but not exactly the
same
None of the options are supported; use the improved feature instead
commit_fp_plan_groups commit_block Similar but not exactly the
same
None of the options are supported; use the improved feature instead
commit_fp_rail No equivalent command Not required commit_skew_group No equivalent command Not required compare_collections compare_collections 1-1 mapping compare_delay_calculation No equivalent command compare_lib n/a No equivalent command
Not applicable compare_rc No equivalent command Not applicable compile_clock_tree synthesize_clock_trees Closest match Runs both clock tree synthesis and clock tree optimization
Does not support -config_file_read, -config_file_write, -high_fanout_net, -sync_phase, and -insert_self_gating
-operating_condition is not supported and not not required compile_fp_clock_plan synthesize_clock_trunks Similar but not exactly the
same
Simplified usage model
compile_power_plan compile_pg Similar but not exactly the
same
-ignore_design_rules is mapped to -ignore_drc -strategy is mapped to -strategies The following options are not supported:
-ring, -verbose, -write_default_template compile_premesh_tree No equivalent command Not yet supported compress_scenarios No equivalent command Not required compute_polygons compute_polygons Similar but not exactly the
same
-boolean is mapped to -operation poly_list1 and poly_list2 arguments are mapped to -objects1 and -objects2; note they accept different types of objects concat concat 1-1 mapping connect_logic_net connect_logic_net Closest match IC Compiler does not do
anything when you run this command connect_net connect_net 1-1 mapping connect_pin connect_pins Similar but not exactly the
same
-from is mapped to -driver -to is mapped to proportional option loads -verbose is not supported connect_power_switch connect_power_switch Closest match -auto and -pattern_list are not yet supported
-verbose is not supported -auto is not needed -pattern_list is not supported connect_spare_diode No equivalent command Not applicable connect_supply_net connect_supply_net 1-1 mapping UPF command
-vct option is not yet supported connect_tie_cells add_tie_cells Similar but not exactly the
same
Supported options are different: -objects and -obj_type are mapped to -objects -tie_high_lib_cell is mapped to -tie_high_lib_cells -tie_low_lib_cell is mapped to -tie_low_lib_cells -tie_highlow_lib_cell, -tie_high_port_name, and -tie_low_port_name are not supported -
max_fanout is not supported -max_wirelength is not supported -incremental is not supported continue continue 1-1 mapping convert_from_polygon convert_to_polygon
create_poly_rect and create_geo_mask
Closest match Different usage model, so options cannot be mapped directly
convert_mw_lib No equivalent command Not applicable convert_wire_to_pin create_terminal Closest match Have to use create_terminal to manually create a terminal to match the wire shape copy_collection copy_collection 1-1 mapping copy_floorplan No
equivalent command Not supported copy_mim No equivalent command Not needed, automatic detection of multiply instantiated blocks
Use get_mib_objects for query copy_mw_cel copy_block Similar but not exactly the
same
-from and -from_library are mapped to -from_block lib:block format -to and -to_library are mapped to -to_block lib:block format -hierarchy and -check_only are not supported -overwrite is similar to -force but not exactly the same copy_mw_lib copy_lib Similar but not
exactly the
same
-from is mapped to -from_lib -to is mapped to -to_lib
IC Compiler IC Compiler II Category Comments
copy_objects copy_objects Similar but not exactly the
same
-delta and -to are mapped to -delta -use_same_net is mapped to -net net
-x_pitch_type and -y_pitch_type are new count_drc_violations No equivalent command Not applicable cputime cputime 1-1 mapping create_auto_shield n/a No equivalent command Not applicable create_base_array No equivalent command Not supported
create_block_abstraction create_abstract 1-1 mapping Option mapping:
o In general, IC Compiler II has more flexibility in terms of options o -include is not supported (In IC Compiler, customers were mostly using this option to retain objects that are referenced in the top-level SDC. Because IC Compiler II retains objects with constraints
during create_abstract, the impact of the lack of support for this option is minimized). create_boundary set_attribute current_block boundary Closest match boundary is an attribute of the block object
create_bounds create_bound Similar but not exactly the
same
-coordinate is mapped to -boundary -cycle_color and -color are not supported create_buffer_tree create_buffer_trees Similar but not exactly the
same create_cell create_cell Similar but not exactly the
same
-view is not supported -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option -hierarchical; use create_module to create a module, and then use create_cell create_clock create_clock 1-1 mapping create_clock_mesh
create_clock_straps Similar but not exactly the
same
Simplified usage model supports wide range of custom clock mesh and strap creation
create_command_group create_command_group 1-1 mapping create_connview No equivalent command Not required create_die_area set_attribute [current_block] boundary
{{x1 y1} {x1 y2} ... {xn yn} ... {x1 y1}}
Closest match die_area is mapped to the boundary of the block
create_differential_group route_rdl_differential Similar but not exactly the
same
None of the options are supported; use the improved feature instead
create_drc_error create_drc_error Similar but not exactly the
same
-error_view is mapped to -error_data -type is mapped to -error_type -info is mapped to -information -rectangles and -polygons polygons are mapped to -polygons and -polylines -net is not supported -required is mapped to -required_spacing -actual is mapped to -
actual_spacing -route_types is not supported create_drc_error_type create_drc_error_type Similar but not exactly the
same
-error_view is mapped to -error_data -class is mapped to -error_class -info is mapped to -brief_info and verbose_info -status is mapped to -severity -level is not supported create_edit_group create_edit_group Similar but not exactly the
same
object_list argument is not supported; use add_to_edit_group to add object
create_floorplan initialize_floorplan Similar but not exactly the
same
-core_height is mapped to -core_side_length -core_utilization is mapped to -row_core_ratio -core_width is mapped to -core_offset -keep_io_place is mapped to -keep_io_placement -keep_macro_place is mapped to -keep_macro_placement -keep_std_cell_place is
mapped to keep_std_cell_placement -pad_limit is mapped to -honor_pad_limit
The following options are not supported:
-bottom_io2core, -control_type, -left_io2core, -min_pad_height, -no_double_back, -right_io2core, -top_io2core, -start_first_row, -use_vertical_row create_fp_block_shielding create_keepout_margin Closest match -inside_boundary is mapped to -inner
-metal_layers is mapped to -layers -outside_boundary is mapped to -outer -shielding_width is mapped to -min_padding_per_macro and -max_padding_per_macro
The following options are not supported:
-block_level, -side_list, -tie_to_net, -width_in_microns create_fp_blockages_for_child_hardmacro create_keepout_margin Closest match "collection of soft macros" is mapped to block_cell_list
create_fp_group_block_ring create_pg_ring_pattern Similar but not exactly the
same
-horizontal_ring_layer is mapped to -horizontal_layer -horizontal_ring_spacing is mapped to -horizontal_spacing -horizontal_ring_width is mapped to -horizontal_width -horizontal_strap_layer is mapped to -horizontal_spacing -horizontal_strap_width is mapped to -
horizontal_width -vertical_ring_layer is mapped to -vertical_layer -vertical_ring_spacing is mapped to -vertical_spacing -vertical_ring_width is mapped to -vertical_width -vertical_strap_layer is mapped to -vertical_layer -vertical_strap_width is mapped to -
vertical_width
The following options are not supported:
-horizontal_ring_offset, -output_directory, -skip_strap, -vertical_ring_offset create_fp_pins create_pin Similar but not exactly the
same
None of the options are supported; use the improved feature instead
create_fp_placement create_placement -floorplan Similar but not exactly the
same
-congestion_driven is mapped to -congestion
The following options are not supported:
-consider_scan, -exploration, -max_fanout, -no_hierarchy_gravity, -no_legalize, -num_cpus, -optimize_pins, -plan_groups, -voltage_areas, -write_placement_blockages create_fp_plan_group_padding No equivalent command Not required create_fp_virtual_pad
set_virtual_pad Similar but not exactly the
same
-point is mapped to -coordinate
The following options are not supported:
-load_file, -save_file create_freeze_silicon_leq_change_list No equivalent command Not applicable create_generated_clock create_generated_clock Similar but not exactly the
same
-preinvert is not yet supported
create_lib_track set_attribute [get_layers xx]
track_offset | routing_direction
Closest match No unit tile block object in IC Compiler II; you define the track offset and routing direction on the layers
create_logic_net create_logic_net Closest match IC Compiler does not do anything when you run this command create_logic_port create_logic_port Closest match IC Compiler does not do anything when you run this command create_macro_fram create_frame
Similar but not exactly the
same
Consolidation in IC Compiler II to remove legacy Milkyway blockages
-auto_pin_must_connect_area_threshold is mapped to -pin_must_connect_area_thresholds -extract_blockage_by_block_core_with_margin is mapped to -block_core_margin -extract_blockage_by_merge_with_threshold is mapped to -merge_metal_blockage -
extract_pin_connectivity_through is mapped to read_gds -trace_option trace_connectivity in icc2_lm_shell (not used in icc2_shell) -identify_macro_pin_by_pin_text and -*_pin_text_layers are mapped to the file.gds.text_layer_map application option (this application
option is not necessary in icc2_shell) -pin_must_connect_area_layer_number is mapped to -pin_must_connect_area_layers -preserve_all_metal_blockage is mapped to -preserve_metal_blockage
The following options are not supported:
-cell_name, -extract_via_on_layer, -extract_via_within_pin_area_only, -feedthrough_layers, -library_name, -routing_blockage_output_layer, -treat_all_blockage_as_thin_wire, -treat_metal_blockage_as_thin
create_mask_constraint_route_guides No equivalent command Not applicable
IC Compiler IC Compiler II Category Comments
create_mw_cel create_block Similar but not exactly the
same
-view is not supported; specify the view name with the block name by using the following format: block_name.view_name
create_mw_lib create_lib Similar but not exactly the
same
-mw_reference_library is mapped to -ref_libs
The following options are not supported:
-bus_naming_style (not applicable), -reference_control_file (not applicable), -open (on-by-default) create_net create_net 1-1 mapping create_net_search_pattern No equivalent command No net pattern support in IC Compiler II create_net_shape create_shape -net
Similar but not exactly the
same
-bbox and -boundary are mapped to -boundary -datatype is mapped to -layer layer:datatype -mask_constraints is mapped to set_attribute with the mask_constraint attribute -path_type is mapped to -start_endcap, -end_endcap and -start_extension, -end_extension -
points is mapped to -path -route_type is mapped to -shape_use -type is mapped to -shape_type (wire is not supported, use path instead)
The following options are not supported:
-avoid_short_segment, -length, -origin, -vertical (not needed) create_on_demand_netlist No equivalent command Not required, automatically created by the tool create_open_drc_error create_drc_error Similar but not exactly the
same
See create_drc_error comments
create_open_locator_drc_error create_drc_error Similar but not exactly the
same
See create_drc_error comments
create_operating_conditions No equivalent command Automatically defined by the tool create_pad_rings compile_pg Similar but not exactly the
same
None of the options are supported; use the improved feature instead
create_partition explore_logic_hierarchy Closest match None of the options are supported; use the improved feature instead (explore_logic_hierarchy) create_pg_network No equivalent command Not applicable create_physical_bus No equivalent command Not
supported create_physical_buses_from_patterns No equivalent command Not supported create_pin_guide create_pin_guide Similar but not exactly the
same
-bbox and -boundary are consolidated into -boundary in IC Compiler II
create_placement create_placement 1-1 mapping create_placement_blockage create_placement_blockage
create_pin_blockage
Similar but not exactly the same
-bbox is mapped to the create_placement_blockage option -no_pin and -blocked_layers are mapped to create_pin_blockage -no_register, -buffer_only, -category, -no_rp_group, -no_hard_macro are mapped to the corresponding
values of the create_placement blockage -type option create_plan_groups No equivalent command Not applicable create_port create_port 1-1 mapping create_power_domain create_power_domain 1-1 mapping UPF command create_power_plan_regions
create_pg_region Similar but not exactly the
same
-remove_jog_method is mapped to -remove_jog -remove_notch_type is mapped to -remove_notch The following options are not supported:
-jog_threshold, -macro_offset_file, -notch_threshold create_power_straps create_pg_strap Similar but not exactly the
same
-advanced_via_rules is mapped to -via_rule but the usage is different -extend_high_ends is mapped to -extend_high -extend_low_ends is mapped to -extend_low -mark_as_std_cell_pin_connections and -mark_as_ring are mapped to -mark_as -nets is mapped to -
net -start_high_ends_coordinate is mapped to -high_end -start_low_ends_coordinate is mapped to -low_end The following options are not supported:
-clip_at_top_cell_boundaries, -configure, -define_parallel_targets_by_wire_directions, -detour_accept_all, -detour_enforce, -detour_jog_length_limit, -detour_jog_offset, -detour_leading_obstructions, -detour_nets, -detour_region, -detour_required, -
detour_snap_on_track, -do_not_merge_targets, -do_not_route_over_macros, -exclude_voltage_areas, -extend_for_multiple_connections, -extension_gap, -ignore_cell_boundary, -ignore_parallel_targets, -increment_x_or_y, -keep_floating_wire_pieces, -
-num_groups, -num_placement_strap, -offset_both_sides_for_special_via, -optimize_wire_locations, -pitch_within_group, -special_rules, -special_via_rule, -special_via_x_offset, -special_via_x_size, -special_via_x_step, -special_via_y_offset, -special_via_y_size, -
special_via_y_step, -start_at, -start_at_offset, -start_high_ends, -start_low_ends, -std_cells, -step, -stop, -undo, -within_voltage_areas
create_power_switch create_power_switch 1-1 mapping UPF command create_power_switch_array create_power_switch_array Closest match -bounding_box is mapped to -boundary
-individual staggered is mapped to -checkerboard even|odd -offset_to_voltage_area is mapped to -x_offset and -y_offset -orientation is mapped to -orient -place_pattern is mapped to -pattern -x_increment is mapped to -x_pitch -y_increment is mapped to -y_pitch
The following options are not supported:
-design, -relative_to_voltage_area, -respect (respects hard blockages, macros, and fixed standard cells by default), -start_column, -start_row create_power_switch_ring create_power_switch_ring Closest match -area_object is mapped to -voltage_area, -
voltage_area_shape, or -boundary with macro boundary information
-filler_lib_cell is mapped to -filler_cells -inner_corner_lib_cell is mapped to -inner_corner_cell -offset is mapped to -x_offset and -y_offset -outer_corner_lib_cell is mapped to -outer_corner_cell -place_pattern is mapped to -pattern ( a ring placement type pattern) -
polygon is mapped to -boundary -switch_lib_cell is mapped to -lib_cell or -power_switch -x_increment is mapped to -x_pitch -y_increment is mapped to -y_pitch
The following options are not supported:
-check_overlap, -density, -no_gap, -respect (respects hard blockages, macros, and fixed standard cells by default), -same_orientation, -vertical_filler_lib_cell, -vertical_switch_lib_cell create_preroute_vias create_pg_vias Similar but not exactly the
same
-from_layer is mapped to -from_layers -from_object_bus, -from_object_macro_io_pin, -from_object_macro_io_pin_connection, -from_object_ring, -from_object_std_pin, -from_object_std_pin_connection, -from_object_strap, and -from_object_user are mapped to -
from_types followed by keywords -ignore_parallel_targets is mapped to an opposite option -allow_parallel_objects -to_layer is mapped to -to layers -to_object_bus, -to_object_macro_io_pin, -to_object_macro_io_pin_connection, -to_object_ring, -to_object_std_pin, -
to_object_std_pin_connection, -to_object_strap, and -to_object_user are mapped to -to_types followed by keywords -within is mapped to -within_bbox
The following options are not supported:
-advanced_via_rules, -buses, -connect_to_targets_on_all_layers_in_between, -do_not_merge_targets, -exclude_voltage_areas, -merge_within_range, -object_shapes, -offset_both_sides_for_special_via, -optimize_via_locations, -special_via_rule, -
special_via_x_offset, -special_via_x_size, -special_via_x_step, -special_via_y_offset, -special_via_y_size, -special_via_y_step, -tag, -undo, -within_voltage_areas, -x_coordinate_start, -x_pitch, -x_step, -x_step_start, -y_coordinate_start, -y_pitch, -y_step, -
y_step_start create_pst create_pst 1-1 mapping UPF command create_qor_snapshot No equivalent command create_qtm_constraint_arc No equivalent command Not required create_qtm_delay_arc No equivalent command Not required create_qtm_drive_type No
equivalent command Not required create_qtm_generated_clock No equivalent command Not required create_qtm_load_type No equivalent command Not required create_qtm_model No equivalent command Not required create_qtm_path_type No equivalent
command Not required create_qtm_port No equivalent command Not required create_rail_setup No equivalent command Not required create_rdl_power_extension create_rdl_power_extension 1-1 mapping
IC Compiler IC Compiler II Category Comments
create_rdl_shield create_rdl_shields 1-1 mapping create_rectangular_rings create_pg_ring_pattern;
set_pg_strategy; compile_pg
Similar but not exactly the same
Improved usage
create_rectilinear_rings create_pg_ring_pattern;
set_pg_strategy; compile_pg
Similar but not exactly the same
Improved usage
create_register_bank create_multibit 1-1 mapping create_route_guide create_routing_guide
create_routing_blockage
Similar but not exactly the same
-coordinate is mapped to -boundary -no_preroute_layers and -no_signal_layers are mapped to create_routing_blockage -net_types -zero_min_spacing is mapped to create_routing_blockage -zero_spacing -preferred_direction_only_layers is mapped to -
preferred_direction_only -layers -repair_as_single_sbox is not supported -track_utilization_layers is mapped to -layers -switch_preferred_direction_layers is mapped to -switch_preferred_direction -layers -single_layer_routing is mapped to -river_routing -layers -
max_number_of_pattern is mapped to -max_patterns others: named same create_routing_blockage create_routing_blockage Similar but not exactly the
same
-bbox is mapped to -boundary
create_routing_corridor create_routing_corridor Similar but not exactly the
same
refer to man create_routing_corridor
create_routing_corridor create_routing_corridor Similar but not exactly the
same
-rectangles is mapped to -boundary -nets is mapped to -object create_rp_group create_rp_group Closest match same command but different option
“Name was given without option” is mapped to -name -design is dropped The other options have to be set using set_rp_group_options
create_scenario create_scenario Similar but not exactly the
same
In IC Compiler, it creates a scenario. In IC Compiler II, it creates a scenario and specifies mode and corner associated with the scenario. The basic function is similar. create_short_drc_error create_drc_error Similar but not exactly the
same
see create_drc_error comments
create_signoff_setup No equivalent command Not applicable create_site_row create_site_row Similar but not exactly the
same
supported options are different: -coordinate is mapped to -origin -kind is mapped to -site -orient is mapped to -site_orientation -dir is mapped to -orientation -count is mapped to -site_count -space is mapped to -x_margin Note: the value of options could be different,
check the man page for details. create_spacing_drc_error create_drc_error Similar but not exactly the
same
see create_drc_error comments
create_stack_via_on_pad_pin create_pg_vias Closest match None of the options are supported; use the improved feature instead create_supply_net create_supply_net 1-1 mapping UPF command create_supply_port create_supply_port 1-1 mapping UPF
command create_supply_set create_supply_set 1-1 mapping UPF command create_terminal create_shape -port + create_terminal Closest match To create a terminal in IC Compiler II, you must create the shape for a port first, and then use
create_terminal on the shape. -bbox and -boundary are mapped to -boundary of create_shape -layer is mapped to -layer of create_shape -port is mapped to -port of create_shape and create_terminal -direction and -name: same name option on create_terminal -
mask_constraint is mapped to mask_constraint attribute of shape; you can use set_attribute to modify create_text create_shape Similar but not exactly the
same
-origin, -layer, and -height: named same -orient is mapped to -orientation -anchor is mapped to -justification -datatype: can be specified with layer in the layer:purpose format string is mapped to -text create_track create_track Similar but not exactly the
same
-bounding_box is mapped to -bbox other options in IC Compiler are named same in IC Compiler II create_user_shape create_shape Similar but not exactly the
same
-type is mapped to -shape_type; wire is not supported. Use path. -origin and -length are not supported -bbox and -boundary are mapped to -boundary -points is mapped to -path -width: same named option -path_type is mapped to -start_endcap/-end_endcap and -
start_extension/-end_extension -layer and -net: same named options -vertical: not needed -route_type is mapped to -shape_use -datatype: no such option; can be specified together with layer by -layer layer:datatype -mask_constraints: use set_attribute with
mask_constraint attribute -avoid_short_segment is not supported create_via create_via Similar but not exactly the
same
-at is mapped to -origin -master is mapped to -via_def -name, -auto, -allow_multiple are not supported -no_net is not applicable -route_type is mapped to -shape_use -orient is mapped to -orientation -type is not needed -col and -row are mapped to -size {r c} -
x_pitch and -y_pitch are mapped to -pitch {horizontal vertical} -lower, -upper, and -via_mask_constraint: use set_attribute create_via_master create_via_def Similar but not exactly the
same
-name is mapped to via_def_name argument -cut_layer_name is mapped to -cut_layer -lower_layer_name and -upper_layer_name are not needed -rectangles is mapped to -shapes -cut_width and -cut_height are mapped to -cut_size {H V} -lower_layer_enc* are
mapped to -lower_enclosure {H V} -upper_layer_enc* are mapped to -upper_enclosure {H V}
The following option is not supported:
-quiet create_via_region create_via_region 1-1 mapping Provide equivalent function but different option names
-port_name is mapped to –terminal -via_region is mapped to -via_def -rotate_90 is mapped to -rotate
create_voltage_area create_voltage_area Similar but not exactly the
same
-coordinate and -polygons are mapped to -region -power_domain is mapped to -power_domains -guard_band_x and -guard_band_y are mapped to -guard_band -color and -cycle_color are not supported modules argument is mapped to -cells Other options are
named same create_voltage_area_feedthroughs No equivalent command Not needed create_zrt_shield create_shields 1-1 mapping current_design current_design Closest match No option difference. In IC Compiler II, this command return the collection of current
block current_design_name get_attribute current_design name Similar but not exactly the
same
Not applicable
current_instance current_instance 1-1 mapping current_mw_cel current_block Similar but not exactly the
same
The format of the design name can be different: IC Compiler: designName.viewName;version IC Compiler II: [libName:]designName[/labelName][.viewName] current_mw_lib current_lib Similar but not exactly the
same
in IC Compiler, current_mw_lib can only query the current library In IC Compiler II, current_lib can query or set the current working library current_scenario current_scenario 1-1 mapping
IC Compiler IC Compiler II Category Comments
cut_fp_preroutes_into_plan_groups push_down_objects Similar but not exactly the
same
net_collection is mapped to object_collection
cut_objects reshape_objects No equivalent command Improved usage cut_row No equivalent command Not supported date date 1-1 mapping decrypt_lib n/a No equivalent command Not applicable define_antenna_accumulation_mode
define_antenna_accumulation_mode 1-1 mapping
define_antenna_area_rule define_antenna_area_rule 1-1 mapping define_antenna_layer_ratio_scale define_antenna_layer_ratio_scale 1-1 mapping
define_antenna_layer_rule define_antenna_layer_rule 1-1 mapping Added new -name option to specify the name of the rule to be created define_antenna_rule define_antenna_rule 1-1 mapping Added new -name option to specify the name of the rule to be created
define_bus create_net_bus
create_port_bus
Similar but not exactly the same
-type is mapped to either create_port_bus or create_net_bus -name and -range are mapped to the net_bus_name or port_bus_name command argument define_io_antenna_area set_attribute <port> antenna_area Similar but not exactly the
same
Similar but not exactly the same
define_io_diode_protection set_attribute <port> diode_protection Similar but not exactly the
same
Similar but not exactly the same
define_io_gate_size set_attribute <port> gate_area Similar but not exactly the
same
Similar but not exactly the same
define_name_rules define_name_rules 1-1 mapping All options have the same names, except the ones that are not supported yet define_proc_attributes define_proc_attributes 1-1 mapping define_routing_rule create_routing_rule Closest match Removed -
spacing_weight_level and -taper_level define_scaling_lib_group No equivalent command Not required define_user_attribute define_user_attribute -persistent 1-1 mapping -class mapped to -classes, while -class still works (with auto completion);
must use -persistent in IC Compiler II so the attribute is persistent define_user_attribute -type -range_min -range_max -one_of <attr_name> -class <class_name>
define_user_attribute -type -range_min -range_max - one_of -name <attr_name> -classes <class_list> -persistent
Similar but not exactly the same
define_via create_via_def Closest match -rect option mapped to -shapes. define_voltage_area_routing_rule No equivalent command Will be supported in a future release define_zrt_redundant_vias add_via_mapping Similar but not exactly the
same
-from_* is mapped to -from {} -to_* is mapped to -to {} -from_via_array_mode is mapped to -transform -to_via_weights is mapped to -weight delete_operating_conditions No equivalent command derive_constraints No equivalent command Design Compiler and IC
Compiler command for Design Compiler budgeting only; does not apply to IC Compiler II derive_mpc_macro_options No equivalent command Not required, automatically created by tool derive_mpc_options No equivalent command Not required
derive_mpc_port_options No equivalent command Not required derive_pg_connection connect_pg_net Closest match -reconnect: default behavior in IC Compiler II
-create_nets, -tie, -all, and -create_ports: covered under -automatic -power_net and -ground_net are mapped to -net -power_pin and -ground_pin are mapped to port_pin_list -cells: can be specified in port_pin_list -resolve_conflict: performed by default -
preserve_physical_only_pg: not applicable derive_placement_blockages derive_placement_blockages 1-1 mapping derive_reserved_placement_area report_placement* Closest match None of the options are supported; use the improved feature instead dict dict 1-
1 mapping disable_double_patterning_rules No equivalent command disconnect_net disconnect_net Similar but not exactly the
same display_flip_chip_route_flylines View->Flylines->RDL Flylines Similar but not exactly the
same
None of the options are supported; use the improved feature instead
display_rdl_route_flylines View->Flylines->RDL Flylines Similar but not exactly the
same
None of the options are supported; use the improved feature instead
distribute_objects spread_objects Similar but not exactly the
same
-anchor object, supported -parent, supported -from, supported -to, supported -side, not supported -spread, not supported -vertical, not supported -offset, not supported -wiretrack_offset, not supported -resize, not supported -keep_area, not supported -ignore_fixed,
not supported objects, supported drive_of No equivalent command An old Design Compiler command. No plan in IC Compiler II echo echo 1-1 mapping eco_netlist eco_netlist Closest match -physical is mapped to -compare_physical_only_cells
IC Compiler II has -write_summary, -extract_timing_eco_changes, and -compare_target_modules options enable_double_patterning_rules No equivalent command Not applicable enable_primetime_icc_consistency_settings No equivalent command Not applicable
enable_write_lib_mode No equivalent command Not supported encoding encoding 1-1 mapping end_fp_trace_mode No equivalent command Not required eof eof 1-1 mapping error error 1-1 mapping error_info error_info 1-1 mapping estimate_fp_area No
equivalent command Not required estimate_fp_black_boxes create_blackbox* Similar but not exactly the
same
None of the options are supported; use the improved feature instead
estimate_rc No equivalent command Not applicable eval eval 1-1 mapping evaluate_macro_placement report_placement Closest match None of the options are supported; use the improved feature instead exec exec 1-1 mapping exit exit 1-1 mapping
expand_flip_chip_cell_locations No equivalent command Not required expand_objects expand_objects Similar but not exactly the
same
-side, supported
-fill, not supported -offset, supported -hit_types, not supported -ignore_fixed, not supported explore_power_switch report_power_switch_patterns Closest match None of the options are supported; use the improved feature instead expr expr 1-1 mapping
extend_mw_layers No equivalent command Not applicable
IC Compiler IC Compiler II Category Comments
extract_blockage_pin_via create_frame Similar but not exactly the
same
-library_name is similar to open_lib command -cell_name is similar to open_block command -generate_boundary is similar to the read_gds -layer_map command in icc2_lm_shell -cell_types is similar to -block_all auto option -preserve_all_metal_blockage is
mapped to -preserve_metal_blockage -routing_blockage_output_layer does not have similar mapping. IC Compiler II always outputs routing blockages (is_zero_blockage true|false) -treat_all_blockage_as_thin_wire is similar to -
convert_metal_blockage_to_zero_spacing {{layer min_spacing}} option -treat_metal_blockage_as_thin is similar to -convert_metal_blockage_to_zero_spacing {{layer min_spacing}} option -extract_pin_connectivity_through is similar to read_gds -trace_option and
trace_connectivity commands in icc2_lm_shell -pin_must_connect_area_layer_number is mapped to -pin_must_connect_area_layers option -auto_pin_must_connect_area_threshold is mapped to -pin_must_connect_area_thresholds -skip_rotated_via_region
does not have similar mapping -extract_via_region_below_metal does not have similar mapping -contact_selections is mapped to -include_nondefault_via -carry_layer_datatype is not yet supported -extract_via_region_for_pg does not have similar mapping -
keep_pin_must_block_out_area is similar to -preserve_metal_blockage option -*_pin_text_layers is similar to the file.gds.text_layer_map application option in icc2lm_shell
extract_fp_rail_to_constraints analyze_power_plan Closest match extract_fp_relative_location copy_relative_placement Closest match None of the options are supported; use the improved feature instead extract_fram_property n/a No equivalent command Not
applicable extract_hier_antenna_property n/a No equivalent command Not applicable extract_rc update_timing Similar but not exactly the
same extract_rp_group No equivalent command Will support in a future release extract_zrt_hier_antenna_property derive_hier_antenna_property 1-1 mapping fblocked fblocked 1-1 mapping fconfigure fconfigure 1-1 mapping fcopy fcopy 1-1 mapping file file 1-1
mapping fileevent fileevent 1-1 mapping filter_collection filter_collection 1-1 mapping find_objects find_objects 1-1 mapping fix_isolated_via n/a No equivalent command Not applicable fix_signal_em fix_signal_em Closest match In IC Compiler II, -only_net_ndr and -
only_cell_based are not supported yet.
In IC Compiler II, -only_segment_size is on by default so no such option available. flatten_clock_gating No equivalent command Not yet supported flatten_fp_black_boxes No equivalent command Not required flatten_fp_hierarchy explore_logic_hierarchy Similar but
not exactly the
same
None of the options are supported; use the improved feature instead
flip_mim gui_select_mib_cells_of_selected Closest match None of the options are supported; use the improved feature instead
flip_objects flip_objects Similar but not exactly the
same
-anchor, supported
-x, -y, -direction, supported -flip_transform, not supported -ignore_fixed, not supported flush flush 1-1 mapping focal_opt route_opt Closest match Run route_opt multiple times to improve QoR for for 1-1 mapping foreach foreach 1-1 mapping foreach_in_collection
foreach_in_collection 1-1 mapping format format 1-1 mapping get_adjusted_endpoints No equivalent command Not planned get_alternative_lib_cells No equivalent command Not supported get_always_on_logic No equivalent command Can use get_cells -
physical_context -filter ref_block.always_on==true to get always-on logic
Always-on nets are dynamically associated in IC Compiler II get_app_var get_app_var 1-1 mapping get_attribute get_attribute 1-1 mapping -return_null_values is default behavior in IC Compiler II get_bounds get_bounds 1-1 mapping get_buffers No equivalent
command Not supported get_cell_sites No equivalent command Not supported get_cells get_cells Similar but not exactly the
same
-object_id is not supported -all is default behavior in IC Compiler II Others are named same get_clocks get_clocks Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
get_command_option_values get_command_option_values 1-1 mapping get_core_area get_core_area 1-1 mapping get_coupling_capacitors No equivalent command get_cts_scenario No equivalent command Not required get_defined_commands
get_defined_commands 1-1 mapping get_design_lib_path No equivalent command Not applicable get_die_area Closest match No die area object in IC Compiler II, users can use below command to query the boundary of the design:
get_attribute –name boundary –objects current_block get_dominant_scenarios No equivalent command Applies only to IC Compiler get_dont_touch_cells get_cells -hierarchical -filter
dont_touch==true
Similar but not exactly the same
-type is not supported
get_dont_touch_nets get_nets -hierarchical -filter
dont_touch==true
Similar but not exactly the same
-type is not supported
get_drc_errors get_drc_errors Similar but not exactly the
same
Improved usage
get_edit_groups get_edit_groups Similar but not exactly the
same
-object_id and -design_id are not supported
get_em_max_toggle_rate No equivalent command Not applicable get_error_view_property get_drc_errors; get_drc_error_types Closest match
None of the options are supported; use the improved feature instead get_fill_cells get_fill_cells Similar but not exactly the
same
-type is mapped to -filter with the is_arrayed attribute
get_flat_cells get_flat_cells Similar but not exactly the
same
-object_id is not supported
get_flat_nets get_flat_nets Similar but not exactly the
same
-compact is default behavior in IC Compiler II -object_id is not supported get_flat_pins get_flat_pins Similar but not exactly the
same
-object_id is not supported
get_floorplan_data write_floorplan Closest match None of the options are supported; use the improved feature instead get_fp_trace_mode View->Mouse Tool->Timing Ruler;
View->Flylines->Net Connections
Closest match None of the options are supported; use the improved feature instead
get_fp_wirelength route_global Closest match None of the options are supported; use the improved feature instead get_generated_clocks get_generated_clocks Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
get_latch_loop_groups get_latch_loop_groups 1-1 mapping get_layer_attribute get_attribute Similar but not exactly the
same
-layer: use positional option of get_attribute to specify the layer
get_layers get_layers Similar but not exactly the
same
-include_system: not applicable since no system layers in IC Compiler II
get_lib_attribute get_attribute 1-1 mapping get_lib_cells get_lib_cells Similar but not exactly the
same
-scenarios is not supported in IC Compiler II.
get_lib_pins get_lib_pins 1-1 mapping get_libs get_libs Closest match -scenarios: not applicable; return both ref libs and design libs in memory get_license get_licenses 1-1 mapping get_location get_attribute Similar but not exactly the
same
IC Compiler IC Compiler II Category Comments
get_magnet_cells No equivalent command get_matching_nets_for_pattern No equivalent command no net pattern support in IC Compiler II get_mesh_nets No equivalent command Not required get_message_ids get_message_ids 1-1 mapping get_message_info
get_message_info 1-1 mapping get_mw_cels get_blocks Similar but not exactly the
same
IC Compiler returns mw_cel while IC Compiler II returns block
get_net_shapes get_shapes Similar but not exactly the
same
-shield_of is mapped to -of_objects + -shield_only -include_shield is mapped to -of_objects + -include_shield -type is mapped to -filter with the shape_type attribute get_nets get_nets Similar but not exactly the
same
-compact and -all: default behavior in IC Compiler II -object_id is not supported get_new_bounds No equivalent command Not supported get_object_fixed_edit set_fixed_objects Closest match get_object_fixed_edit, command not supported
set_fixed_objects, supported get_object_name get_object_name 1-1 mapping get_object_snap_type set_snap_setting Closest match get_object_snap_type, command not supported
set_snap_settings, supported get_path_groups get_path_groups Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
get_physical_buses No equivalent command Not supported get_physical_lib_cells get_lib_cells Similar but not exactly the
same
Options match IC Compiler 1-1
get_physical_lib_pins get_lib_pins Similar but not exactly the
same
Options match IC Compiler 1-1
get_physical_libs get_libs Closest match In IC Compiler II, physical and logic libraries are combined get_pin_guides get_pin_guides Similar but not exactly the
same
-object_id is not supported
get_pin_shapes get_shapes 1-1 mapping get_pins get_pins Similar but not exactly the
same
-object_id is not supported -all: default behavior in IC Compiler II get_placement_area Closest match get_attribute -objects [get_core_area] -name boundary get_placement_blockages get_placement_blockages Similar but not exactly the
same
-type is mapped to -filter with the blockage_type attribute
get_plan_group_pin_shapes get_pins Closest match get_plan_groups No equivalent command Not supported get_polygon_area compute_area Similar but not exactly the
same
get_polygon_area accepts a polygon while IC Compiler II accepts poly_rects, geo_masks, shapes, layers, and other physical objects
get_ports get_ports Similar but not exactly the
same
-object_id is not supported -all: default behavior in IC Compiler II get_power_domains get_power_domains 1-1 mapping get_power_plan_regions get_pg_regions Similar but not exactly the
same get_power_switches get_power_switch_patterns Similar but not exactly the
same
-hierarchical is not supported -of_objects is not supported get_rdl_nets report_rdl_routes Similar but not exactly the
same
None of the options are supported; use the improved feature instead
get_related_supply_net get_related_supply_nets Similar but not exactly the
same
IC Compiler II has a superset of options; all IC Compiler options work in IC Compiler II
get_route_guides get_routing_guides 1-1 mapping get_route_mode_options n/a No equivalent command Not applicable get_route_opt_zrt_crosstalk_options No equivalent command get_route_zrt_common_options get_app_options Similar but not exactly the
same
get_app_options route.common*
get_route_zrt_detail_options get_app_options Similar but not exactly the
same
get_app_options route.detail*
get_route_zrt_global_options get_app_options Similar but not exactly the
same
get_app_options route.global*
get_route_zrt_track_options get_app_options Similar but not exactly the
same
get_app_options route.track*
get_routing_blockages get_routing_blockages Similar but not exactly the
same
-type is mapped to -filter with the layer.mask_name attribute
get_rp_group_keepouts get_rp_blockages Similar but not exactly the
same get_rp_groups get_rp_groups Similar but not exactly the
same
more options
get_rp_groups_net_length No equivalent command get_scan_cells_of_chain get_cells_of_scan_chain 1-1 mapping get_scan_chains get_scan_chain_count 1-1 mapping Same as get_scan_cells_of_chain get_scenarios get_scenarios Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
get_selection get_selection 1-1 mapping get_si_xtalk_bumps To be implemented - No
target yet
Not implemented yet
get_site_rows get_site_rows Similar but not exactly the
same
-object_id is not supported
get_supply_nets get_supply_nets Similar but not exactly the
same
IC Compiler II has a superset of options; all IC Compiler options work in IC Compiler II
get_supply_ports get_supply_ports Similar but not exactly the
same
IC Compiler II has a superset of options; all IC Compiler options work in IC Compiler II
get_terminals get_terminals Similar but not exactly the
same
-object_id is not supported
get_text get_shapes -filter shape_type==text 1-1 mapping
get_timing_paths get_timing_paths Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
get_tracks get_tracks 1-1 mapping get_user_grid get_grids Closest match get_grids -type
In IC Compiler, returns offset and step information of the grid In IC Compiler II, returns the grid collection get_user_shapes get_shapes 1-1 mapping get_utilization create_utilization_configuration
report_utilization
Closest match objects is mapped to report_utilization -of_objects object;
-row_based is mapped to create_utilization_configuration -capacity site_row; -consider_blockage is mapped to create_utilization_configuration -exclude {hard_blockages soft_blockages}; -consider_macro_keepout is mapped to create_utilization_configuration -
exclude {macro_keepouts} -treat_macro_like_blockages is mapped to create_utilization_configuration -exclude {hard_macros ...} -flat is not applicable get_via_masters get_via_defs Similar but not exactly the
same
-cut_layer, -upper_layer, -lower_layer: can be achieved by get_via_defs -filter cut_layer_names, or upper_layer_name, or lower_layer_name respectively get_via_regions get_via_regions 1-1 mapping get_vias get_vias Similar but not exactly the
same
-shield_of: -of_object + -shield_only -include_shield: -of_object + -include_shield get_voltage_area_shapes get_voltage_area_shapes 1-1 mapping get_voltage_areas get_voltage_areas 1-1 mapping get_working_design_stack get_working_design_stack Similar but
not exactly the
same
-instances is not supported
get_zero_interconnect_delay_mode get_app_option_value -name
time.delay_calculation_style
1-1 mapping
get_zrt_net_properties No equivalent command Not applicable getenv getenv 1-1 mapping gets gets 1-1 mapping glob glob 1-1 mapping global global 1-1 mapping group group_cells Similar but not exactly the
same
-except, -logic, -pla, -fsm, -hdl_*, and -soft are not supported -design_name is mapped to -module_name Others are named the same
IC Compiler IC Compiler II Category Comments
group_path group_path 1-1 mapping gui_bin gui_bin 1-1 mapping gui_change_highlight gui_change_highlight 1-1 mapping gui_create_attrgroup gui_create_attrgroup 1-1 mapping gui_create_pref_category gui_create_pref_category 1-1 mapping
gui_create_pref_key gui_create_pref_key 1-1 mapping gui_create_vm gui_create_vm 1-1 mapping gui_create_vm_objects gui_create_vm_objects 1-1 mapping
gui_create_vmbucket gui_create_vmbucket 1-1 mapping gui_delete_attrgroup gui_delete_attrgroup 1-1 mapping gui_edit_vmbucket_contents gui_edit_vmbucket_contents 1-1 mapping gui_eval_command gui_eval_command 1-1 mapping gui_exist_pref_category
gui_exist_pref_category 1-1 mapping gui_exist_pref_key gui_exist_pref_key 1-1 mapping
gui_get_bucket_option gui_get_bucket_option 1-1 mapping gui_get_bucket_option_list gui_get_bucket_option_list 1-1 mapping gui_get_current_task gui_get_current_task 1-1 mapping gui_get_highlight gui_get_highlight 1-1 mapping gui_get_highlight_options
gui_get_highlight_options 1-1 mapping gui_get_layer_widths gui_get_layer_widths 1-1 mapping gui_get_map_list gui_get_map_list 1-1 mapping gui_get_map_option gui_get_map_option 1-1 mapping gui_get_map_option_list gui_get_map_option_list 1-1 mapping
gui_get_pref_keys gui_get_pref_keys 1-1 mapping gui_get_pref_value gui_get_pref_value 1-1 mapping gui_get_routes_between_objects get_routes_between_objects 1-1 mapping gui_get_setting gui_get_setting 1-1 mapping gui_get_task_list gui_get_task_list 1-1
mapping gui_get_vm gui_get_vm 1-1 mapping gui_get_vmbucket gui_get_vmbucket 1-1 mapping gui_get_window_ids gui_get_window_ids 1-1 mapping gui_get_window_pref_categories gui_get_window_pref_categories 1-1 mapping
gui_get_window_pref_keys gui_get_window_pref_keys 1-1 mapping gui_get_window_pref_value 1-1 mapping gui_get_window_types gui_get_window_types 1-1 mapping gui_list_attrgroups gui_list_attrgroups 1-1 mapping gui_load_cell_density_mm
gui_load_cell_density_mm 1-1 mapping gui_load_pin_density_mm gui_load_pin_density_mm 1-1 mapping gui_remove_pref_key gui_remove_pref_key 1-1 mapping gui_remove_selected_objects Edit->Delete Closest match Simplified usage model gui_remove_vm
gui_remove_vm 1-1 mapping gui_remove_vmbucket gui_remove_vmbucket 1-1 mapping gui_set_bucket_option gui_set_bucket_option 1-1 mapping gui_set_cells_of_selected change_selection Closest match Simplified usage model
gui_set_clock_sources_of_selected No equivalent command Not required gui_set_connected_cells_of_selected change_selection Closest match Simplified usage model gui_set_current_task gui_set_current_task 1-1 mapping
gui_set_edit_group_children_of_selected change_selection Closest match Simplified usage model
gui_set_highlight_options gui_set_highlight_options 1-1 mapping gui_set_layer_widths gui_set_layer_widths 1-1 mapping gui_set_leaf_cells_of_selected change_selection Closest match Simplified usage model gui_set_map_option gui_set_map_option 1-1
mapping gui_set_net_flylines_of_selected gui_highlight_nets_of_selected Closest match gui_set_net_flylines_of_selected command is not supported
gui_highlight_nets_of_selected is supported gui_set_nets_of_selected gui_highlight_nets_of_selected Closest match gui_set_nets_of_selected command is not supported
gui_highlight_nets_of_selected is supported gui_set_netshapes_of_selected gui_select_shapes_of_selected 1-1 mapping gui_set_physical_buses_of_selected gui_select_bundles_of_selected Closest match gui_set_physical_buses_of_selected command is not
supported
gui_select_bundles_of_selected is supported gui_set_pin_shapes_of_selected get_pins Closest match gui_set_pin_shapes_of_selected command is not supported
get_pins is supported gui_set_plan_group_pin_shapes_of_selected No equivalent command Not required
gui_set_plan_groups_of_selected No equivalent command Not required gui_set_port_and_pins_of_selected get_pins Closest match gui_set_pin_shapes_of_selected command is not supported
get_pins is supported gui_set_pref_value gui_set_pref_value 1-1 mapping gui_set_rdl_routes_of_selected gui_select_connected_rdl_net_shapes Closest match gui_set_rdl_routes_of_selected command is not supported
gui_select_connected_rdl_net_shapes is supported
gui_set_routes_of_selected change_selection Closest match Simplified usage model gui_set_setting gui_set_setting 1-1 mapping gui_set_shape_endcap gui_select_shapes_of_selected Closest match Simplified usage model gui_set_terminals_of_selected
gui_select_terminals_of_selected Closest match gui_set_terminals_of_selected command is not supported
gui_select_terminals_of_selected is supported gui_set_vias_of_selected gui_select_net_vias_of_selected Closest match gui_set_vias_of_selected command is not supported
gui_select_net_vias_of_selected is supported gui_set_vm gui_set_vm 1-1 mapping gui_set_vmbucket gui_set_vmbucket 1-1 mapping gui_set_voltage_areas_of_selected gui_select_voltage_areas_of_selected Closest match gui_set_voltage_areas_of_selected
command is not supported
gui_select_voltage_areas_of_selected is supported gui_set_window_pref_key 1-1 mapping gui_show_man_page gui_show_man_page 1-1 mapping gui_show_map gui_show_map 1-1 mapping gui_start gui_start 1-1 mapping gui_stop gui_stop 1-1 mapping
gui_update_attrgroup gui_update_attrgroup 1-1 mapping gui_update_pref_file gui_update_pref_file 1-1 mapping gui_update_vm gui_update_vm 1-1 mapping gui_update_vm_annotations gui_update_vm_annotations 1-1 mapping help help 1-1 mapping history
history 1-1 mapping hookup_retention_register No equivalent command Not needed hookup_testports No equivalent command identify_clock_gating No equivalent command Not required if if 1-1 mapping ignore_site_row No equivalent command Not supported
import_designs No equivalent command Not supported import_fp_black_boxes create_blackbox* Closest match None of the options are supported; use the improved feature instead incr incr 1-1 mapping index_collection index_collection 1-1 mapping info info 1-1
mapping initialize_rectilinear_block initialize_floorplan -core_shape Similar but not exactly the
same
-core_side_dim is mapped to -core_side_ratio -keep_io_place is mapped to -keep_io_placement -keep_macro_place is mapped to -keep_macro_placement -keep_std_cell_place is mapped to -keep_std_cell_placement -row_core_ratio is mapped to -
row_core_ratio row_core_ratio -use_current_boundary is mapped to -keep_boundary -use_vertical_row is mapped to -use_site_row
The following options are not supported:
-bottom_io2core, -control_type, -left_io2core, -no_double_back, -right_io2core, -shape, -start_first_row, -top_io2core
IC Compiler IC Compiler II Category Comments
insert_boundary_cell set_boundary_cell_rules compile_boundary_cells
Similar but not exactly the same
IC Compiler II separates insert_boundary_cell into two commands: set_boundary_cell_rules command to set the boundary rules and compile_boundary_cells command to insert the cells Supported options are different in set_boundary_cell_rules: -rules
respect_soft_blockage respect_hard_blockage respect_soft_macro_keepout even_tile_rows odd_tile_rows are not supported -rules no_1x is mapped to -no_1x -rules mirror_left_outside_corner_cell is mapped to -mirror_left_outside_corner -rules
mirror_right_outside_corner_cell is mapped to -mirror_right_outside_corner -rules mirror_left_inside_corner_cell is mapped to -mirror_left_inside_corner -rules mirror_right_inside_corner_cell is mapped to -mirror_right_inside_corner -rules mirror_left_boundary_cell
is mapped to -mirror_left_boundary_cell -rules mirror_right_boundary_cell is mapped to -mirror_right_boundary_cell -rules swap_top_bottom_inside_corner_cell is mapped to -do_not_swap_top_and_bottom_inside_corner_cell -rules ignore_jag_edge is mapped to -
min_horizontal_jog
insert_buffer add_buffer Closest match IC Compiler II has -lib_cell option
IC Compiler II does not have -location, -orientation, -no_of_cells, -freeze_silicon, -divide_load_by, -repeater_distance, -port_half_distance, - ignore_pin_cap insert_diode n/a No equivalent command Not applicable insert_isolation_cell create_mv_cells -isolation
Closest match use create_mv_cells -isolation.
need to use UPF method of set_isolation, set_isolation_control , map_isolation_cell instead of command options insert_level_shifters create_mv_cells -level_shifter 1-1 mapping use create_mv_cells -level_shifter
need to use UPF strategy with set_level_shifter instead of options insert_metal_filler signoff_create_metal_fill Similar but not exactly the
same
Supported options are different: -out is not supported -purge is mapped to -mode remove -bounding_box is mapped to -coordinates -dont_overwrite is mapped to -mode add -timing_driven is mapped to -timing_preserve_setup_slack_threshould -insert_as_instance
is not supported -tie_to_net is not supported -create_floating_vias is not supported -floating_via_ftr_spacing is not supported -routing_spacing is mapped to signoff.create_metal_fill.space_to_nets -from_metal and -to_metal are mapped to -select_layers -width is not
supported -space is not supported -min_length is not supported -max_legnth is not supported -space_to_route is not supported -space_to_pg is not supported -stagger is not supported -x_offset is not supported -y_offset is not supported -dont_snap_fill_to_track is
not supported -fill_poly is not supported -distance_to_boundary is not supported insert_mv_cells create_mv_cells Closest match IC Compiler II is missing -retention
IC Compiler II provides options to derive level-shifter strategies insert_ng_filler No equivalent command Not required insert_pad_filler create_io_filler_cells Similar but not exactly the
same
Supported options are different: -cell is mapped to -reference_cells -overlap_cell is mapped to -overlap_cells -bounding_box is mapped to -extension_bbox -prefix is mapped to -prefix -no_left, -no_right, -no_bottom, -no_top, -ring, -voltage_area, and -prefix are not
supported; use -io_guides instead insert_redundant_vias n/a No equivalent command Not applicable insert_self_gating_dft_logic No equivalent command Not required insert_spare_cells add_spare_cells Closest match IC Compiler II does not have -tie, -skip_legal
IC Compiler II has -boundary, -voltage_areas insert_stdcell_filler create_stdcell_fillers Similar but not exactly the
same
Supported options are different: -cell_without_metal is mapped to -lib_cells, no distinction between with metal and without metal -cell_without_metal_prefix is mapped to -prefix, no distinction between with metal and without metal -cell_with_metal is mapped to -
lib_cells, no distinction between with metal and without metal -vt_filler is not supported -vt_filler_prefix is not supported -randomize is not supported -bounding_box is mapped to -bboxes -voltage_area is mapped to -voltage_area -plan_group is not required -
dont_respect_hard_placement_blockage is not supported -dont_respect_soft_placement_blockage is on-by-default -ignore_hard_placement_blockage is not supported -ignore_soft_placement_blockage is on-by-default -between_std_cells_only is not supported -
respect_overlap is not supported -respect_keepout is on-by-default; to disable, set the place.legalize.ignore_keepout_margins_against_filler application option to true -avoid_layers is not supported -connect_to_power is supported by running connect_pg_net after
filler insertion -connect_to_ground is supported by running connect_pg_net after filler insertion -pin_net is supported by running connect_pg_net after filler insertion -check_only is not supported; use check_legality instead -restore_filler_snapshot is not supported -
leakage_power is not supported -metal_filler_coverage_area is not supported -no_1x is mapped to -rules {no_1x}
insert_tap_cells_by_rules create_tap_cells Similar but not exactly the
same
Supported options are different: -tap_cell_insertion is supported by default -tap_distance_based is supported by default -move is supported by default -freeze is not supported -tap_master is mapped to -lib_cell -tap_distance_limit is mapped to -distance -
drc_spacing_check -drc_spacing_based -tap_layer -tap_spacing_design_rule -no_tap_cells_under_metal_layer -n_well_layer -p_well_layer -contact_layer - p_diffusion_layer -n_diffusion_layer -p_implant_layer -n_implant_layer is not supported -
tap_filler_name_identifier is not supported -ignore_hard_blockage is not supported -ignore_soft_blockage is supported by default -respect_keepout is supported by default; if user wanted to disable, set application option
place.legalize.ignore_keepout_margins_against_filler to true -ignore_double_back_sharing is not supported -connect_to_power_net -connect_to_ground_net is supported by running connect_pg_nets after insertion -voltage_area same option supported
insert_well_filler No equivalent command Not required insert_zrt_diodes create_diodes 1-1 mapping insert_zrt_redundant_vias add_redundant_vias 1-1 mapping interp interp 1-1 mapping is_double_patterning_enabled No equivalent command is_false is_false 1-1
mapping is_true is_true 1-1 mapping is_zrt_routed_design n/a No equivalent command Not applicable join join 1-1 mapping lappend lappend 1-1 mapping lassign lassign 1-1 mapping
IC Compiler IC Compiler II Category Comments
legalize_fp_placement legalize_placement Similar but not exactly the
same
Improved usage in IC Compiler II with the -post_route option
legalize_placement legalize_placement 1-1 mapping legalize_rp_placement legalize_rp_groups Similar but not exactly the
same
Additional option
lib2saif No equivalent command license_users No equivalent command Not applicable lindex lindex 1-1 mapping Not applicable link link_block Similar but not exactly the
same
Not applicable
link_physical_library No equivalent command Not supported linsert linsert 1-1 mapping list list 1-1 mapping list_attributes list_attributes 1-1 mapping list_dont_touch_types No equivalent command Not applicable list_drc_error_types get_drc_error_types Closest
match list_drc_error_types command is not supported
get_drc_error_types is supported list_files No equivalent command Not applicable list_floorplan_data list_attributes Similar but not exactly the
same
None of the options are supported; use the improved feature instead
list_instances No equivalent command Not supported list_libs No equivalent command Not supported list_licenses list_licenses 1-1 mapping list_mw_cels list_blocks Similar but not exactly the
same
-all_views, -all_versions, and -sort are not supported
list_partition_data list_attributes Similar but not exactly the
same
None of the options are supported; use the improved feature instead
llength llength 1-1 mapping lminus lminus 1-1 mapping load No equivalent command Not applicable load_fp_rail_map set_constraint_mapping_file Similar but not exactly the
same
None of the options are supported; use the improved feature instead
load_of get_attribute lib_pin pin_capacitance Similar but not exactly the
same
Not applicable
load_upf load_upf 1-1 mapping IC Compiler II is missing options -simulation_only , -strict_check, and -supplemental, but they are not needed. lrange lrange 1-1 mapping lrepeat lrepeat 1-1 mapping lreplace lreplace 1-1 mapping lreverse lreverse 1-1 mapping ls ls
1-1 mapping lsearch lsearch 1-1 mapping lset lset 1-1 mapping lsort lsort 1-1 mapping magnet_placement magnet_placement Closest match Missing the following options:
-hierarchy_mode -avoid_soft_blockage -exclude_buffers -exclude_cells -stop_points -align man man 1-1 mapping map_freeze_silicon No equivalent command Not applicable map_isolation_cell map_isolation_cell 1-1 mapping UPF command map_level_shifter_cell
map_level_shifter_cell 1-1 mapping UPF command map_power_switch map_power_switch 1-1 mapping UPF command map_retention_cell map_retention_cell 1-1 mapping UPF command map_unit_tiles No equivalent command Not applicable mark_clock_tree
mark_clock_trees Similar but not exactly the
same
Does not support -routing_rule, -use_default_routing_for_sinks, -layer_list, -routing_rule_for_sinks, -use_leaf_routing_rule_for_sinks, - layer_list_for_sinks, -seq_freeze, -fix_sinks, -clock_nets, -ideal_net.
mem mem 1-1 mapping merge_clock_gates merge_clock_gates Similar but not exactly the
same
Does not support -verbose and -preview options
merge_flip_chip_nets No equivalent command Not required merge_fp_hierarchy group_cells/ungroup_cells Similar but not exactly the
same
None of the options are supported; use the improved feature instead
merge_net_shapes merge_objects Closest match -child not supported merge_saif No equivalent command modify_rp_groups modify_rp_groups 1-1 mapping Changed setting:
-add_rows_at is mapped to -add_rows -add_columns_at is mapped to -add_columns -remove_rows_at mapped to -remove_rows -remove_columns_at is mapped to -remove_columns -flip_row remains the same -flip_column remains the same -swap_rows remains
the same -swap_columns remains the same -free_placement is not supported -number is not supported move_mw_cel_origin move_block_origin Similar but not exactly the
same
Options match IC Compiler 1-1; IC Compiler operates on CEL view, while IC Compiler II on block move_objects move_objects Similar but not exactly the
same
-delta vector replaced by -delta point
-from, supported -to, supported -x, supported -y, supported -keep_placement, not supported -keep_pad_to_core_distance, not supported -ignore_fixed, not supported move_pins_on_edge No equivalent command Not applicable name_format No equivalent
command Not needed namespace namespace 1-1 mapping open open 1-1 mapping open_mw_cel open_block Similar but not exactly the
same
-library: library can be specified together with block name in the lib:block format in IC Compiler II -version: not applicable -readonly is mapped to -read -not_as_current is not supported -sync_port_name is not supported open_mw_lib open_lib Similar but not exactly
the
same
-readonly is mapped to -read -write_ref is mapped to -ref_libs_for_edit optimize_clock_tree synthesize_clock_trees Closest match Runs both clock tree synthesis and clock tree optimization
Various options are removed and not required -postroute option is added for postroute clock tree optimization -premesh, -postmesh, -mesh_nets are supported through the multisource clock tree synthesis commands optimize_dft optimize_dft 1-1 mapping
optimize_flip_chip_route optimize_rdl_routes Similar but not exactly the
same
The following options are not supported:
-change_route_type, -split_net optimize_fp_timing estimate_timing Closest match None of the options are supported; use the improved feature instead optimize_power_switch No equivalent command Not needed optimize_pre_cts_power No equivalent command
Not required optimize_rdl_route optimize_rdl_routes Similar but not exactly the
same
The following option is not supported:
-terminal_names optimize_wire_via n/a No equivalent command Not applicable optimize_zrt_wire_via optimize_routes 1-1 mapping order_rp_groups No equivalent command pack_fp_macro_in_area set_macro_constraints Closest match None of the options are
supported; use the improved feature instead
IC Compiler IC Compiler II Category Comments
package package 1-1 mapping parse_proc_arguments parse_proc_arguments 1-1 mapping pid pid 1-1 mapping place_eco_cells place_eco_cells 1-1 mapping place_flip_chip_array create_bump_array Similar but not exactly the
same
-cell_origin is mapped to -origin -delta is mapped to -bbox -number is mapped to -repeat -physical_lib_cell is mapped to -lib_cell -start_point is mapped to -origin place_flip_chip_drivers No equivalent command Not required place_flip_chip_ring No equivalent
command Not required place_fp_pins place_pins Similar but not exactly the
same
None of the options are supported; use the improved feature instead
place_freeze_silicon place_freeze_silicon Closest match option -use_lib_cells is now -cells in IC Compiler II
No -trial_map_spare_cells -map_spare_cells_only or -write_map_file options in IC Compiler II IC Compiler does not have -lib_cells_for_filler_recovery place_opt place_opt Closest match IC Compiler II place_opt has five stages using -from and -to control. Most IC
Compiler place_opt options are mapped to application options
or new stage control in IC Compiler II. For example,
-optimize_dft is on-by-default -congestion is mapped to the place_opt.congestion.effort application option with a value of medium or high -optimize_icgs is mapped to the place_opt.flow.optimize_icgs application option with a value of true -power is mapped to the
opt.power.mode application option with a value of total -spg is mapped to the place_opt.flow.do_spg application option with a value of true place_opt_feasibility No equivalent command prepare_mw_lib n/a No equivalent command Not applicable preroute_instances
create_pg_macro_conn_pattern;
set_pg_strategy; compile_pg
Similar but not exactly the same
None of the options are supported; use the improved feature instead
preroute_standard_cells create_pg_std_cell_conn_pattern;
set_pg_strategy; compile_pg
Similar but not exactly the same
None of the options are supported; use the improved feature instead
preview No equivalent command Not applicable print_message_info print_message_info 1-1 mapping print_suppressed_messages print_suppressed_messages 1-1 mapping printenv printenv 1-1 mapping printvar printvar 1-1 mapping proc proc 1-1 mapping
proc_args proc_args 1-1 mapping proc_body proc_body 1-1 mapping process_particle_probability_file No equivalent command Not applicable propagate_all_clocks No equivalent command Command used in CTS stage. IC Compiler II does it automatically
propagate_constraints No equivalent command User MUST use flat SDC and use split_constraints flow to get block level SDC. Bottom-up SDC flow is not recommended in IC Compiler II.
propagate_clock_constraints - clock_mesh_annotation
promote_clock_data - mesh_annotations
1-1 mapping In addition to the clock mesh annotations, the IC Compiler II command also allows promotion of CTS balance points
propagate_pin_mask_constraint propagate_pin_mask_constraint 1-1 mapping Improved usage propagate_pin_mask_to_via_metal No equivalent command Not required propagate_switching_activity propagate_switching_activity Similar but not exactly the
same
There are command UI differences between IC Compiler and IC Compiler II
push_down_fp_objects push_down_objects Similar but not exactly the
same
Simplified usage model, -cells and object_collection option supported
push_flip_chip_route push_rdl_routes Similar but not exactly the
same
The following option is not supported:
-terminal_names push_rdl_route push_rdl_routes Similar but not exactly the
same
The following option is not supported:
-terminal_names push_up_fp_objects pop_up_objects Similar but not exactly the
same
Simplified usage model, -cells, -blocks and object_collection supported
puts puts 1-1 mapping pwd pwd 1-1 mapping query_cell_instances To be implemented - No
target yet
This is a UPF query command and is not supported.
Use get_cells -filter ref_block==$ref_name query_cell_mapped To be implemented - No
target yet
This is a UPF query command and is not supported. Use get_attributes $cell ref_name query_map_power_switch To be implemented - No
target yet
This is a UPF query command and is not supported Use save_upf and look for map_power_switch statements query_net_ports To be implemented - No
target yet
This is a UPF query command and is not supported
query_objects query_objects 1-1 mapping query_on_demand_netlist No equivalent command Not required query_port_net To be implemented - No
target yet
This is a UPF query command and is not supported
query_port_state To be implemented - No
target yet
This is a UPF query command and is not supported Use save_upf and look for add_port_state commands query_power_switch To be implemented - No
target yet
This is a UPF query command and is not supported Use save_upf and look for create_power_switch statements query_pst To be implemented - No
target yet
This is a UPF query command and is not supported Use save_upf and look for create_pst commands query_pst_state To be implemented - No
target yet
This is a UPF query command and is not supported Use save_upf and look for add_pst_state commands query_qor_snapshot No equivalent command Not applicable quit quit 1-1 mapping quit! quit! 1-1 mapping read read 1-1 mapping read_aif read_aif 1-1
mapping read_antenna_violation No equivalent command Not applicable read_aocvm read_ocvm Similar but not exactly the
same
ocvm_files option matches between IC Compiler and IC Compiler II, but IC Compiler has -min , -max option which is not supported in IC Compiler II and IC Compiler II has -corner option which is not in IC Compiler. read_ddc No equivalent command Not supported
read_def read_def Similar but not exactly the
same
-enforce_scaling is not supported -check_only is mapped to -syntax_only -turn_via_to_inst and -inexactly_matched_via_to_inst: not applicable -lef: use read_tech_lef -snet_no_shape_as_user_enter and -snet_no_shape_as_detail_route: not applicable -
preserve_wire_ends is not supported read_drc_error_file open_drc_error_data Closest match read_drc_error_file , command not supported,
open_drc_error_data, supported read_file No equivalent command Not supported read_floorplan source write_floorplan Closest match None of the options are supported; use the improved feature instead read_lib n/a No equivalent command Not applicable
read_parasitics read_parasitics 1-1 mapping read_partition No equivalent command Not required read_pin_pad_physical_constraints set_signal_io_constraints Similar but not exactly the
same
None of the options are supported; use the improved feature instead
read_power_plan_regions No equivalent command Not required read_rail_maps No equivalent command read_saif read_saif Similar but not exactly the
same
There are command UI differences between IC Compiler and IC Compiler II PrimeTime PX consistency read_sdc read_sdc 1-1 mapping read_sdf To be implemented - No
target yet read_signal_em_constraints read_signal_em_constraints Closest match In IC Compiler II, -tluplus is been replaced -itf_em so -tluplus is no longer needed. read_stream read_gds
read_oasis
Similar but not exactly the same
Check man page for details Note: commands are supported only in icc2_lm_shell read_tdf_ports No equivalent command Not required
IC Compiler IC Compiler II Category Comments
read_verilog read_verilog Similar but not exactly the
same
-dirty_netlist and -allow_black_box are not supported; use the design mismatch manager instead -verbose and -bus_direction_for_undefined_cell are not supported -keep_module is not supported -cell is mapped to -design -top: named same in IC Compiler II
rebuild_mw_lib No equivalent command Not applicable recompute_fit_bbox No equivalent command Not supported recover_tie_connection No equivalent command Not supported redirect redirect 1-1 mapping redo redo Similar but not exactly the
same
-mark is mapped to -marker
reduce_fp_rail_stacked_via No equivalent command Not required refine_fp_macro_channels No equivalent command Not required refine_placement refine_placement 1-1 mapping regexp regexp 1-1 mapping regsub regsub 1-1 mapping remove_all_spacing_rules
remove_placement_spacing_rules Closest match
remove_annotated_check To be implemented - No
target yet remove_annotated_delay remove_annotated_delay Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
remove_annotated_transition remove_annotated_transition Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
remove_annotations To be implemented - No
target yet remove_antenna_rules remove_antenna_rules 1-1 mapping Added new -name option to specify the name of the rule remove_aocvm remove_ocvm Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
remove_attachment_file remove_attachments Similar but not exactly the
same
-check_only is not supported -all and -design do not apply due to different usage model; in IC Compiler II, you must specify the attachment file name remove_attribute remove_attributes Similar but not exactly the
same
-class is not supported
remove_banking_guidance_strategy No equivalent command No longer needed remove_base_arrays No equivalent command Not supported remove_bounds remove_bounds Similar but not exactly the
same
-name is not supported. Users can specify bound without this option
remove_buffer remove_buffers Similar but not exactly the
same
-freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option -to and -level are not supported as of K-2015.06 remove_buffer_tree remove_buffer_trees Similar but not exactly the
same remove_bus remove_net_buses
remove_port_buses
Similar but not exactly the same
Use remove_net_bus for net bus and remove_port_bus for port bus
remove_case_analysis remove_case_analysis Similar but not exactly the
same
-all is not supported
remove_cell remove_cells Similar but not exactly the
same
-freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option
remove_cell_degradation No equivalent command remove_cell_sites No equivalent command Not supported remove_cell_vt_type set_attribute to remove the attribute Similar but not exactly the
same remove_checkpoint_designs No equivalent command Not supported remove_clock remove_clocks 1-1 mapping remove_clock_cell_spacing remove_clock_cell_spacings Similar but not exactly the
same
Does not support -clocks and -all options
remove_clock_gates No equivalent command Not required remove_clock_gating_check remove_clock_gating_check Similar but not exactly the
same
IC Compiler II command align with PrimeTime
remove_clock_groups remove_clock_groups Similar but not exactly the
same
IC Compiler II command align with PrimeTime
remove_clock_latency remove_clock_latency Similar but not exactly the
same
Options not matching between IC Compiler and IC Compiler II . (IC Compiler has -rise, -fall, -min, -max, -late, and -early options which are missing in IC Compiler II)(IC Compiler II has -corner , -mode ,-scenario) remove_clock_mesh create_clock_straps Similar but
not exactly the
same
Simplified usage model create_clock_straps -clear remove_clock_sense remove_clock_sense 1-1 mapping remove_clock_transition remove_clock_transition Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
remove_clock_tree remove_clock_trees Similar but not exactly the
same
Does not support -honor_seq_freeze and -high_fanout_net -honor_dont_touch is the default behavior remove_clock_tree_exceptions remove_clock_balance_points
set_dont_touch set_size_only set_dont_touch_network
Similar but not exactly the same
Improved usage
remove_clock_tree_options remove_clock_tree_options Similar but not exactly the
same
More options available for improved usage
remove_clock_uncertainty remove_clock_uncertainty Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
remove_congestion_options No equivalent command remove_cts_scenario No equivalent command Not required remove_dangling_wires No equivalent command Not applicable remove_data_check remove_data_check Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
remove_design remove_modules
close_lib
Closest match Different usage; to remove all the designs and libraries, use close_lib -force; to remove specific design, use remove_modules
remove_die_area set_attribute current_block boundary
{}
Closest match Different usage
remove_diode remove_cells Similar but not exactly the
same
nets, -all_clock_nets and dangling_wires options are removed in IC Compiler II
remove_disable_clock_gating_check remove_disable_clock_gating_check 1-1 mapping
remove_disable_timing remove_disable_timing Similar but not exactly the
same
-all_loop_breaking is mapped to -loop_break
remove_distributed_hosts No equivalent command Simplified usage model remove_distributed_route n/a No equivalent command Not applicable remove_dont_touch_placement set_attribute to remove the attribute Similar but not exactly the
same remove_drc_error remove_drc_errors 1-1 mapping remove_driving_cell remove_driving_cell Similar but not exactly the
same
Options not matching (IC Compiler II has -corner , -mode ,-scenario, -clock ,-clock_fall, -min, -max,-rise, -fall), IC Compiler II has better alignment with PrimeTime remove_edit_groups remove_edit_groups Similar but not exactly the
same
-quiet is not supported in IC Compiler II
remove_fanout_load No equivalent command remove_fast_pba_analysis No equivalent command Target for future release remove_filler_with_violation remove_stdcell_fillers_with_violation 1-1 mapping IC Compiler II has two new options:
-boundary, -check_between_fixed_objects remove_flip_chip_route remove_routes -rdl Similar but not exactly the
same
Simplified usage model, -rdl option
remove_floating_pg No equivalent command Not required remove_fp_block_shielding remove_keepout_margins Similar but not exactly the
same
Not required
remove_fp_feedthroughs remove_feedthroughs Similar but not exactly the
same
-blocks is mapped to -cells -include is mapped to -include_original_feedthroughs
The following option is not supported:
-voltage_areas (on-by-default)
IC Compiler IC Compiler II Category Comments
remove_fp_pin_constraints remove_block_pin_constraints Similar but not exactly the
same
None of the options are supported; use the improved feature instead
remove_fp_pin_overlaps No equivalent command Not required remove_fp_plan_group_padding No equivalent command Not required remove_fp_rail_stacked_via remove_via_mappings Closest match None of the options are supported; use the improved feature
instead remove_fp_rail_voltage_area_constraints No equivalent command Not required
remove_fp_relative_location No equivalent command Not required remove_fp_virtual_pad remove_virtual_pads Similar but not exactly the
same
-point is mapped to -coordinate
remove_fp_voltage_area_constraints No equivalent command Not required remove_from_collection remove_from_collection 1-1 mapping remove_from_rp_group remove_from_rp_group Similar but not exactly the
same
-hierarchy is mapped to -rp_group -keepout is mapped to -blockage -leaf is mapped to -cells -instance is not needed remove_generated_clock remove_generated_clocks 1-1 mapping remove_host_options remove_host_options 1-1 mapping remove_ideal_latency
remove_ideal_latency Similar but not exactly the
same
Options do not match; IC Compiler has more options (-rise, -fall, -min, and -max) than IC Compiler II
remove_ideal_net No equivalent command remove_ideal_network remove_ideal_network 1-1 mapping remove_ideal_transition remove_ideal_transition Similar but not exactly the
same
-rise and -fall are missing in IC Compiler II
remove_ignore_cell_timing No equivalent command Not planned remove_ignored_layers remove_ignored_layers 1-1 mapping remove_input_delay remove_input_delay Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
remove_io_antenna_properties remove_attributes Similar but not exactly the
same
Similar but not exactly the same
remove_isolate_ports No equivalent command Not needed remove_isolation_cell remove_cells Closest match remove_cells [get_cells -physical_context -filter (is_isolation)] remove_keepout_margin remove_keepout_margins Similar but not exactly the
same
Different behavior
remove_left_right_filler_rule No equivalent command Not required remove_level_shifters remove_cells Closest match remove_cells get_cells -physical_context -filter (is_level_shifter) remove_license remove_licenses 1-1 mapping remove_link_library_subset No
equivalent command Not applicable remove_map_power_switch remove_cells Similar but not exactly the
same
get_cells -physical_context -filter (is_level_shifter)
remove_mim_property No equivalent command Not required remove_min_pulse_width No equivalent command Target for future release remove_mw_cel remove_blocks Similar but not exactly the
same
different usage model, most options are not applicable to IC Compiler II
remove_net remove_nets 1-1 mapping remove_net_routing remove_routes -
1-1 mapping IC Compiler II command can not only remove route by nets, but also by route type
<all_shape_use_options> remove_net_routing_corridor remove_from_routing_corridor 1-1 mapping remove_net_routing_layer_constraints set_routing_rule -clear
Similar but not exactly the
-clear removes not only minimum and maximum routing layer constraints, but also nondefault routing rule
same remove_net_search_pattern No equivalent command No net pattern support in IC Compiler II remove_net_shape remove_shapes Similar but not
exactly the
same
All options have equivalent in IC Compiler II
remove_net_timing_spacing No equivalent command not applicable remove_noise_immunity_curve To be implemented - No
target yet
Not implemented yet
remove_noise_lib_pin To be implemented - No
target yet
Not implemented yet
remove_noise_margin To be implemented - No
target yet
Not implemented yet
remove_objects remove_objects 1-1 mapping remove_on_demand_netlist_data No equivalent command Not required remove_output_delay remove_output_delay Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
remove_partition explore_logic_hierarchy Closest match None of the options are supported; use the improved feature instead remove_pg_network No equivalent command Not required remove_physical_bus No equivalent command Not supported
remove_pi_model To be implemented - No
target yet remove_pin_guides remove_pin_guides 1-1 mapping remove_pin_name_synonym No equivalent command Not applicable remove_pin_pad_physical_constraints remove_block_pin_constraints Closest match -chiplevel_pad_only is mapped to -self
-pad_only is mapped to -self -pin_only is mapped to -self object_list argument is mapped to -cells remove_placement reset_placement 1-1 mapping remove_placement_blockage remove_placement_blockages Similar but not exactly the
same
-name is mapped to blockage_list argument
remove_plan_groups No equivalent command Not applicable remove_pnet_options No equivalent command No power net checking concept remove_port remove_ports 1-1 mapping remove_power_domain reset_upf Closest match Need to update UPF and reset
and reload UPF remove_power_plan_regions remove_pg_regions Similar but not exactly the
same remove_power_plan_strategy remove_pg_strategies Similar but not exactly the
same remove_power_ring_strategy remove_pg_strategies Similar but not exactly the
same remove_power_switch remove_cells Closest match remove_cells -physical_context -filter (is_power_switch) remove_preferred_routing_direction set_attribute Similar but not exactly the
Must set routing_direction to either vertical or horizontal
same remove_propagated_clock remove_propagated_clocks Similar but not exactly the
same
Missing -all option in IC Compiler II. Man page indicates to use 'all_clocks'
remove_qor_snapshot No equivalent command Not applicable
remove_rail_integrity_layout_check_strategy No equivalent command
remove_rail_maps No equivalent command Not required remove_reserved_placement_area No equivalent command Not required
IC Compiler IC Compiler II Category Comments
remove_route_by_type remove_routes
Similar but not exactly the same
signal_detail_route = detail_route + net_types signal signal_global_route = global_route + net_types signal signal_user = user_route + net_types signal clock_ring = ring + net_types clock clock_strap = stripe + net_types clock clock_tie_off_signal_route =
detail_route + net_types clock clock_tie_off_global_route = global_route + net_types clock clock_user = user_route + net_types clock pg_ring = ring + net_types {power ground} pg_strap = stripe + net_types {power ground} pg_tie_off_detail_route = detail_route +
net_types {power ground} pg_tie_off_global_route = global_route + net_types {power ground} pg_user = user_route + net_types {power ground} pg_std_cell_pin_conn = lib_cell_pin_connect + net_types {power ground} pg_macro_io_pin_conn = macro_pin_connect
+ net_types {power ground} keep_pg_pins_at_boundary = keep_pg_pins_at_boundary shield = shield_route keep_frozen_net = keep_frozen_nets remove_route_guide remove_routing_guides Similar but not exactly the
same
-name is not supported; you can specify the routing guide without this option
remove_routing_blockage remove_routing_blockages 1-1 mapping remove_routing_corridor remove_routing_corridors Similar but not exactly the
same
See man page
remove_routing_corridor remove_routing_corridors Similar but not exactly the
same
-corridors: not needed. Command accepts routing corridor list directly
remove_routing_rules remove_routing_rules 1-1 mapping remove_row_type No equivalent command Not applicable remove_rp_group_options remove_rp_group_options Similar but not exactly the
same
Consolidated to set_rp_group_options -ignore is not supported -x_offset is mapped to -anchor_corner -y_offset is mapped to -anchor_corner -allow_non_rp_cells is mapped to the place.rp.allow_non_rp_cells application option -cell_orient_opt is not supported -
auto_blockage is dropped -disable_buffering is not supported -ignore_rows is not supported -max_rp_width is not supported -max_rp_height is not supported
remove_rp_groups remove_rp_groups Similar but not exactly the
same
-hierarchy is mapped to -hierarchical; other options remain the same
remove_scaling_lib_group No equivalent command Not required remove_scan_def remove_scan_def 1-1 mapping remove_scenario remove_scenarios Similar but not exactly the
same
IC Compiler II allows you to specify a list of scenarios instead of one scenario only in IC Compiler
remove_sdc No equivalent command remove_self_gating_logic No equivalent command Not required remove_site_row remove_site_rows 1-1 mapping remove_skew_group remove_clock_skew_groups 1-1 mapping remove_stdcell_filler remove_cells Closest
match Not required remove_steady_state_resistance To be implemented - No
target yet
Not implemented yet
remove_supply_net reset_upf Closest match Need to update UPF and reset and reload UPF remove_supply_port reset_upf Closest match Need to update UPF and reset and reload UPF remove_target_library_subset remove_target_library_subset Similar but not
exactly the
same
-object_list is mapped to -objects
remove_terminal remove_terminals 1-1 mapping remove_text remove_shapes Similar but not exactly the
same
-all is not supported. Use text collection to remove
remove_tie_cells remove_cells Closest match Not required remove_track remove_tracks 1-1 mapping remove_unconnected_ports No equivalent command Not supported remove_user_budgeted_delays No equivalent command Not required remove_user_shape
remove_shapes 1-1 mapping remove_via remove_vias 1-1 mapping remove_via_master No equivalent command Not implemented yet remove_via_region remove_via_regions No equivalent command remove_voltage_area remove_voltage_areas 1-1 mapping
remove_voltage_area_routing_rule No equivalent command Will be supported in a future release remove_vt_filler_rule No equivalent command Not required remove_well_filler No equivalent command Not required remove_xtalk_prop To be implemented - No
target yet
Not implemented yet
remove_zrt_filler_with_violation remove_stdcell_fillers_with_violation Similar but not exactly the
same
Supported options are different: -name -check_only are mapped to the same option -check_between_fixed_objects -boundary are new
remove_zrt_redundant_shapes remove_redundant_shapes 1-1 mapping rename rename 1-1 mapping rename_mw_cel move_block Similar but not exactly the
same
-all_version is not applicable old_name is mapped to -from_block new_name is mapped to -to_block rename_mw_lib move_lib Similar but not exactly the
same
-from is mapped to -from_lib -to is mapped to -to_lib replace_cell_reference set_reference Closest match IC Compiler II does not have -view, -keep_connection
IC Compiler II has -block, -pin_rebind, -pin_verbose replace_power_switch No equivalent command report_access_preference_route_guide No equivalent command Not applicable report_ahfs_options set_app_options Similar but not exactly the
same report_annotated_check No equivalent command Target for future release report_annotated_delay No equivalent command Target for future release report_annotated_transition No equivalent command Target for future release report_antenna_ratio
check_routes -antenna Similar but not exactly the
same
Similar but not exactly the same
report_antenna_rules report_antenna_rules 1-1 mapping Added new -mode option report_aocvm report_ocvm Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
report_app_var report_app_var 1-1 mapping report_area report_design -netlist Closest match -physical: not needed
-hierarchy is not supported -designware: not applicable report_area_recovery_options set_app_options Similar but not exactly the
same report_attribute report_attributes Similar but not exactly the
same
-quiet is not supported.
report_banking_guidance_strategy No equivalent command No longer needed report_block_abstraction report_abstracts 1-1 mapping report_bounds report_bounds Similar but not exactly the
same
-all: this is default behavior in IC Compiler II -name: not applicable; you can specify the bound name / collection directly in IC Compiler II report_buffer_tree report_buffer_trees Similar but not exactly the
same report_buffer_tree_qor No equivalent command report_bus report_net_buses
report_port_buses
Similar but not exactly the same
-cell is not supported
report_case_analysis report_case_analysis 1-1 mapping
IC Compiler IC Compiler II Category Comments
report_cell report_cells Similar but not exactly the
same
-physical and -only_physical are not supported
report_cell_em report_cell_em Closest match In IC Compiler II, -scenarios is not supported yet.
In IC Compiler II, -significant_digits and -nosplit are new options. report_cell_mode report_cell_modes Closest match IC Compiler II has additional options. Reporting of cell modes is modal in IC Compiler II; they are scenario-based in IC Compiler.
report_cell_physical No equivalent command Not supported report_cell_vt_type report_attributes Similar but not exactly the
same report_channel_capacity No equivalent command Not required report_check_library_options n/a No equivalent command Not applicable report_checkpoint_designs No equivalent command Not supported report_clock report_clocks Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
report_clock_cell_spacing report_clock_cell_spacings 1-1 mapping report_clock_gating No equivalent command Not yet supported report_clock_gating_check report_clock_gating_checks 1-1 mapping report_clock_timing report_clock_timing Similar but not exactly
the
same
Infastructure difference due to options such as -mode or -corner. Some options are different between the tools.
report_clock_tree report_clock_qor Similar but not exactly the
same
One command to report all clock-tree-related metrics Different options and controls for improved usage -premesh and -postmesh are not yet supported report_clock_tree_optimization_options No equivalent command Not required report_clock_tree_power
report_clock_qor -type power Closest match report_clock_qor is one command to report all clock tree related metrics including the clock tree power report_concurrent_clock_and_data_strategy No equivalent command Controls are through application options:
ccd.ignore_ports_for_boundary_identification ccd.optimize_boundary_timing ccd.skip_path_groups report_congestion No equivalent command Use route_global -congestion_map_only report_congestion_options No equivalent command report_constraint
report_constraints Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner. Some options are different between the tools.
report_critical_area No equivalent command Not applicable report_crpr report_crpr Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
report_cts_batch_mode No equivalent command Not required report_delay_calculation report_delay_calculation Closest match Command options in IC Compiler II related to modes, corners, and scenarios. The command is scenario-based in IC Compiler. IC
Compiler II has more options. report_delay_calculation_options No equivalent command Not needed in IC Compiler II report_delay_estimation_options report_extraction_options Similar but not exactly the
same report_design report_design Closest match -physical is mapped to -all (or use -routing, -library, -netlist, or -floorplan) report_design_lib No equivalent command Not applicable report_design_mismatch report_design_mismatch Similar but not exactly the
same
-summary: not needed since this is default behavior. -class: not applicable; use -mismatch_type to specify what to report report_design_physical report_design Closest match -design_setup is mapped to -library
-netlist, -floorplan, and -all: options have same names -route is mapped to -routing -utilization: use report_utilization command in IC Compiler II report_direct_power_rail_tie No equivalent command Not required report_disable_timing report_disable_timing Similar
but not exactly the
same
IC Compiler II accepts object list
report_distributed_hosts check_host_options Similar but not exactly the
same
Improved usage, added -host_options and -work_dir in IC Compiler II
report_distributed_route n/a No equivalent command Not applicable report_dont_touch report_dont_touch Similar but not exactly the
same
-class is not supported; you can specify a net or cell collection
report_dont_touch_net No equivalent command Not supported report_drc_error_type gui_report_errors Closest match report_droute_options n/a No equivalent command Not applicable report_eco_history No equivalent command Not applicable
report_eco_physical_changes No equivalent command Not applicable report_edit_groups report_edit_groups 1-1 mapping report_em_options report_app_options em_* Similar but not exactly the
same report_error_coordinates gui_get_error_data Closest match report_extraction_options report_extraction_options Similar but not exactly the
same report_fast_pba_analysis_options No equivalent command Target for future release report_filler_placement No equivalent command Not required report_fix_hold_options set_app_options Similar but not exactly the
same report_flip_chip_bump_attributes No equivalent command Not required report_flip_chip_driver_bump No equivalent command Not required report_flip_chip_flyline_cross No equivalent command Not required report_flip_chip_options No equivalent command
Not required report_floorplan_data write_floorplan Closest match None of the options are supported; use the improved feature instead report_fp_clock_plan_options No equivalent command Not required report_fp_feedthroughs report_feedthroughs Similar but not
exactly the
same
None of the options are supported; use the improved feature instead
report_fp_macro_array No equivalent command Not required report_fp_macro_options report_macro_constraints Closest match None of the options are supported; use the improved feature instead report_fp_pin_constraints report_block_pin_constraints Similar but
not exactly the
same
None of the options are supported; use the improved feature instead
report_fp_placement report_placement Closest match None of the options are supported; use the improved feature instead report_fp_placement_strategy report_placement Closest match None of the options are supported; use the improved feature instead
report_fp_rail_constraints report_pg_strategies Closest match None of the options are supported; use the improved feature instead report_fp_rail_extraction_options No equivalent command Not required report_fp_rail_strategy report_pg_strategies Closest match
None of the options are supported; use the improved feature instead report_fp_rail_voltage_area_constraints No equivalent command Not required report_fp_relative_location report_rp_groups Closest match None of the options are supported; use the improved
feature instead report_fp_shaping_strategy report_shaping_options Similar but not exactly the
same
Improved usage; no options for command
report_fp_trace_mode_options No equivalent command Not required report_fp_voltage_area_constraints No equivalent command Not required report_fram_property report_frame_properties 1-1 mapping report_groute_options n/a No equivalent command
report_hierarchy report_hierarchy Similar but not exactly the
same
-noleaf is mapped to -no_leaf -full is not supported report_host_options report_host_options Similar but not exactly the
same
-target is not supported
report_ideal_network To be implemented - No
target yet report_ignored_layers report_ignored_layers 1-1 mapping report_instance_based_routing_rule report_clock_settings Closest match report_clock_settings is one command that reports all clock tree synthesis settings including the net-specific routing rules
report_inter_clock_delay_options report_clock_balance_groups 1-1 mapping report_interclock_relation No equivalent command Not required report_internal_loads No equivalent command Not planned report_io_antenna_properties get_attribute Similar but not
exactly the
same
Similar but not exactly the same
report_isolate_ports report_isolate_ports 1-1 mapping IC Compiler II does not have -nosplit option report_isolated_via n/a No equivalent command Not applicable report_isolation_cell report_power_domains Closest match report_power_domains includes isolation
strategies and related information
-domain is mapped to the power_domains argument -port, -strategy, -verbose : No equivalent, but everything should be reported
IC Compiler IC Compiler II Category Comments
report_keepout_margin report_keepout_margins Similar but not exactly the
same
In IC Compiler, object are cells or library cells that have keepout margins
For example, report_keepout_margin MY_CELL In IC Compiler II, objects are keepout margins
For example, report_keepout_margin [get_keepout_margins -of MY_CELL] report_latch_loop_groups Target for future release 1-1 mapping report_latency_adjustment_options To be implemented - No
target yet report_left_right_filler_rule No equivalent command Not required report_level_shifter report_power_domains Closest match report_power_domains includes level-shifter strategy information
-domain is mapped to the power_domains argument
-verbose, -nosplit : No equivalent, but everything should be reported report_lib report_lib Closest match Lots of options come from Library Compiler, which are not applicable to IC Compiler II report_lib -power To be implemented - No
target yet report_link_library_subset No equivalent command Not applicable report_matching_type report_matching_types Similar but not exactly the
same
The command in IC Compiler accepts pin or port list while in IC Compiler II, the command accepts the matching type list
report_metal_density No equivalent command Not applicable report_milkyway_version get_attribute [current_lib]
read_from_schema_version
Closest match Not applicable
report_mim report_mibs Similar but not exactly the
same
Improved usage; no options for command
report_min_pulse_width No equivalent command Target for future release report_mode report_cell_modes Similar but not exactly the
same report_mpc_macro_array No equivalent command Not required report_mpc_macro_options No equivalent command Not required report_mpc_options No equivalent command Not required report_mpc_pnet_options No equivalent command Not required
report_mpc_port_options No equivalent command Not required report_mpc_rectilinear_outline No equivalent command Not required report_mpc_ring_options No equivalent command Not required report_mtcmos_pna_strategy set_pg_strategy Closest match None
of the options are supported; use the improved feature instead report_multisource_options report_multisource_clock_sink_groups Similar but not exactly the
same
Simplified usage model
report_mw_lib report_ref_libs Closest match -unit_range is not supported
-mw_reference_library: use report_ref_libs mw_lib argument is mapped to -library option of report_ref_libs report_name_rules report_name_rules 1-1 mapping report_names report_names Similar but not exactly the
same
-original, -nosplit, and -dont_touch are not supported
report_net report_nets Similar but not exactly the
same
Most of the options have no equivalent in IC Compiler II
report_net_changes No equivalent command Not applicable report_net_delta_delay To be implemented - No
target yet
Not implemented yet
report_net_fanout report_net_fanout Similar but not exactly the
same
-bound is mapped to -upper_bound -min is not supported report_net_physical report_nets -physical Closest match Not applicable report_net_routing_corridor get_routing_corridors Similar but not exactly the
same
See man page
report_net_routing_layer_constraints report_routing_rules Similar but not exactly the
same
See man page
report_net_routing_rules report_routing_rules Similar but not exactly the
same
See man page
report_net_search_pattern No equivalent command No net pattern support in IC Compiler II report_net_search_pattern_delay_estimation_ options
No equivalent command No net pattern support in IC Compiler II
report_net_search_pattern_priority No equivalent command No net pattern support in IC Compiler II report_noise To be implemented - No
target yet
Not implemented yet
report_noise_calculation To be implemented - No
target yet
Not implemented yet
report_ocvm report_ocvm Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner. 'arc_details' in IC Compiler can be replaced by 'get_timing_arc' in IC Compiler II report_on_demand_netlist No equivalent command Not required report_opcond_inference No equivalent command
Closest match is report_pvt, but opconds not really used in IC Compiler II report_operating_conditions report_lib Closest match report_optimization_created_cells No equivalent command report_optimize_dft_options No equivalent command
report_optimize_pre_cts_power_options No equivalent command Not required
report_parameter n/a No equivalent command Not applicable report_path_group report_path_groups Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner, IC Compiler II command missing -expand option
report_pg_net No equivalent command Not supported report_physical_bus No equivalent command Not supported report_physical_signoff_options get_app_option_value Closest match get_app_option_value -name signoff.create_metal_fill.apply_nondefault_rules
report_pi_model No equivalent command timer command report_pin_guides report_pin_guides Similar but not exactly the
same
-pins and -nets: no equivalent in IC Compiler II; use the pin_guide collection instead
report_pin_name_synonym No equivalent command Not supported report_pin_pad_physical_constraints report_block_pin_constraints Similar but not exactly the
same
-cell is mapped to -cells -pin_only is not supported -pad_only is not supported -chiplevel_pad_only is not supported object_list is not supported report_pin_shape No equivalent command Not supported report_place_opt_strategy report_app_options Similar but not
exactly the
same report_pnet_options No equivalent command report_port report_ports Similar but not exactly the
same
-physical and -only_physical are not supported in IC Compiler II -significant_digits: no equivalent in IC Compiler II yet on report_ports report_power report_power Similar but not exactly the
same
There are command UI differences between IC Compiler and IC Compiler II PrimeTime PX consistency report_power_calculation report_power_calculation Similar but not exactly the
same
There are command UI differences between IC Compiler and IC Compiler II PrimeTime PX consistency report_power_domain report_power_domains Similar but not exactly the
same
-scope, -operating_condition, and -hierarchy: no equivalent in IC Compiler II
report_power_guide No equivalent command report_power_pin_info report_cells -power Closest match The report format and some content varies report_power_plan_regions report_pg_regions Similar but not exactly the
same
None of the options are supported; use the improved feature instead
report_power_plan_strategy report_pg_strategies Similar but not exactly the
same
None of the options are supported; use the improved feature instead
report_power_ring_strategy report_pg_strategies Closest match None of the options are supported; use the improved feature instead report_power_switch report_power_domains Closest match report_power_domains includes power switch information
report_preferred_routing_direction get_attribute Closest match Use the routing_direction attribute report_preroute_advanced_via_rule report_pg_via_master_rules Similar but not exactly the
same
None of the options are supported; use the improved feature instead
report_preroute_drc_strategy No equivalent command Not required report_preroute_focal_opt_strategy report_app_options Similar but not exactly the
same report_primetime_options No equivalent command Not applicable report_pst report_pst Similar but not exactly the
same
IC Compiler II is missing -scope option (not supported) -verbose is not supported
IC Compiler IC Compiler II Category Comments
report_qor report_qor Similar but not exactly the
same
IC Compiler II command missing option -physical
report_qor_snapshot No equivalent command Not applicable report_qtm_model write_blackbox_timing_script Closest match None of the options are supported; use the improved feature instead report_rail_integrity_layout_check_strategy No equivalent command
report_rail_options No equivalent command Not required report_read_stream_options get_app_options
read_gds and read_oasis
Closest match See the read_gds application note (SolvNet article 2207670)
Note: read_gds and read_oasis are available only in icc2_lm_shell report_reference report_references Similar but not exactly the
same
-hierarchy is mapped to -hierarchical
report_reference_cell_routing_rule No equivalent command Feature not yet supported report_retention_cell report_power_domains Closest match report_power_domains includes retention strategies and related information
-domain is mapped to the power_domains argument
-verbose, -strategy : No equivalent, but everything should be reported report_route_opt_strategy set_app_options route_opt.* Similar but not exactly the
same report_route_opt_zrt_crosstalk_options report_app_options Similar but not exactly the
same
report_app_options route_opt.flow.xtalk_reduction
report_route_options n/a No equivalent command Not applicable report_route_rdl_options No equivalent command Not required report_route_zrt_common_options report_app_options Similar but not exactly the
same
report_app_options route.common.*
report_route_zrt_detail_options report_app_options Similar but not exactly the
same
report_app_options route.detail.*
report_route_zrt_global_options report_app_options Similar but not exactly the
same
report_app_options route.global.*
report_route_zrt_track_options report_app_options Similar but not exactly the
same
report_app_options route.track.*
report_routing_corridors report_routing_corridors Similar but not exactly the
same
refer to man report_routing_corridors
report_routing_corridors report_routing_corridors Similar but not exactly the
same
-all: default behavior in IC Compiler II -corridors is mapped to positional option routing_corridor_list -check_connectivity is not supported report_routing_rules report_routing_rules 1-1 mapping report_rp_group_options report_attributes Similar but not exactly the
same
Use option –application <rp_group>
report_saif report_switching_activity Closest match PrimeTime PX consistency; superset of report_saif report_scaling_lib_group report_lib Closest match report_scan_chain report_scan_chains 1-1 mapping report_scenario_options report_scenarios Closest match
report_scenarios report_scenarios Closest match report_separate_process_options No equivalent command Not applicable report_si_options To be implemented - No
target yet
Not implemented yet
report_signal_em report_signal_em Closest match In IC Compiler II, -repair_file and -error_view are not supported yet.
In IC Compiler II, -nets is required to specify the nets to report, but IC Compiler does not require -nets to specify the nets.
report_signal_em_calculation No equivalent command Similar function as report_signal_em report_size_only report_size_only Similar but not exactly the
same report_skew_group report_clock_skew_groups Similar but not exactly the
same
Does not support -clock
report_spacing_rules report_placement_spacing_rules 1-1 mapping report_split_clock_gates_options No equivalent command Not required report_starrcxt_options No equivalent command Not applicable report_supply_net report_supply_nets Similar but not exactly
the
same
IC Compiler II is missing -include_exception switch (included by default)
report_supply_port report_supply_ports Similar but not exactly the
same
IC Compiler II is missing Supply state names in the report
report_target_library_subset report_target_library_subset Similar but not exactly the
same
-object_list is mapped to -objects
report_threshold_voltage_group report_threshold_voltage_groups Similar but not exactly the
same report_tie_nets No equivalent command Not supported report_tile_power No equivalent command report_timing report_timing Similar but not exactly the
same
IC Compiler II command missing option -exclude,-rise_exclude,-fall_exclude,,-slack_greater_than,-lesser_path,-greater_path,-loops
report_timing_derate report_timing_derate Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
report_timing_histogram To be implemented - No
target yet report_timing_requirements report_exceptions Similar but not exactly the
same
IC Compiler II command matches with PrimeTime
report_tlu_plus_files report_lib -parasitic_tech;
report_parasitic_parameters
Similar but not exactly the same report_top_implementation_options report_hierarchy_options No equivalent command Not needed in IC Compiler II; linking works automatically
UI for transparent interface optimization will be provided in a future release
report_total_power_strategy get_app_option_value -name
opt.power.mode
Closest match
report_track report_tracks 1-1 mapping report_transitive_fanin report_transitive_fanin 1-1 mapping report_transitive_fanout report_transitive_fanout 1-1 mapping report_unit_tiles No equivalent command report_units report_user_units 1-1 mapping
report_user_budgeted_delays No equivalent command Not required report_via_master report_via_defs Similar but not exactly the
same
-all: default in IC Compiler II
report_voltage_area report_voltage_areas Similar but not exactly the
same
-all is not supported
report_voltage_area_routing_rule No equivalent command Will be supported in a future release report_vt_filler_rule No equivalent command Not required report_write_lib_mode No equivalent command Not supported report_write_stream_options
report_app_options / write_gds Closest match See the write_gds application note (SolvNet article 2060523) report_xtalk_route_options n/a (classic router command) No equivalent command Not applicable report_zrt_net_properties No equivalent command Not
applicable report_zrt_shield report_shields 1-1 mapping reset_cell_mode reset_cell_mode 1-1 mapping reset_clock_tree_optimization_options No equivalent command Not required reset_clock_tree_options remove_clock_tree_options No equivalent command This
is not required as the functionality is covered by other commands reset_clock_tree_references set_lib_cell_purpose -exclude cts
remove_clock_tree_reference_subset
Closest match Clock cell references are controlled by using the general set_lib_cell_purpose command
Clock-specific reference cell settings can be controlled through a different command, set_clock_tree_reference_subset
reset_concurrent_clock_and_data_strategy No equivalent command Controls are through application options:
ccd.ignore_ports_for_boundary_identification ccd.optimize_boundary_timing ccd.skip_path_groups reset_cts_batch_mode No equivalent command Not required reset_design reset_design 1-1 mapping reset_fast_pba_analysis_options No equivalent command
Target for future release reset_fp_clock_plan_options No equivalent command Not required reset_instance_based_routing_rule set_routing_rule -clear Similar but not exactly the
same
Net-specific routing rules from set_routing_rule command are honored by clock tree synthesis; no separate command for this
IC Compiler IC Compiler II Category Comments
reset_inter_clock_delay_options remove_clock_balance_groups Similar but not exactly the
same
Various options in the IC Compiler command are not required in IC Compiler II
reset_latency_adjustment_options To be implemented - No
target yet reset_mode reset_cell_mode 1-1 mapping reset_path reset_paths 1-1 mapping reset_reference_cell_routing_rule No equivalent command Feature not yet supported reset_split_clock_gates_options No equivalent command Not required
reset_switching_activity reset_switching_activity Similar but not exactly the
same
There are command UI differences between IC Compiler and IC Compiler II PrimeTime PX consistency reset_timing_derate reset_timing_derate Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner. IC Compiler II command options match with PrimeTime
reset_upf reset_upf 1-1 mapping resize_objects reshape_objects Similar but not exactly the
same
Improved usage
resize_polygon resize_polygons Similar but not exactly the
same
Improved usage
restore_design_settings No equivalent command Not supported restore_spg_placement No equivalent command return return 1-1 mapping revert_cell_sizing revert_cell_sizing 1-1 mapping rotate_objects rotate_objects Similar but not exactly the
same
In IC Compiler II additional options -snap grid, -by rotate is mapped to -angle, -force added
Example: Usage: rotate_objects # Rotate objects
-anchor anchor_point (Anchor point:
Values: ll, ur, center) -pivot point (Pivot point) -snap grid (Grid to snap the object to) -orient orient (Orientation value to be set on the objects:
Values: N, W, S, E, FS, FW, FN, FE) -angle angle (Rotation angle in degrees:
Values: 90, 180, 270) -force (To ignore locked status of the objects) objects (Objects to rotate)
route_area n/a No equivalent command Not applicable route_auto n/a No equivalent command Not applicable route_detail n/a No equivalent command Not applicable route_differential No equivalent command Not required route_eco n/a No equivalent command
Not applicable route_flip_chip route_rdl_flip_chip Similar but not exactly the
same
-routing_layer is mapped to -layers
The following options are not supported:
-45_degree, -route_by_input_net_order, -terminal_names route_fp_proto No equivalent command Not required route_global n/a No equivalent command Not applicable route_group n/a No equivalent command Not applicable route_htree No equivalent command
Not yet supported route_htree No equivalent command Not applicable route_mesh_net route_clock_straps Similar but not exactly the
same
Simplified usage model
route_mesh_net No equivalent command Not applicable route_opt route_opt 1-1 mapping route_rc_reduction No equivalent command Not applicable route_rdl_differential route_rdl_differential Similar but not exactly the
same
The following options are not supported:
-groups, -terminal_names route_rdl_flip_chip route_rdl_flip_chip 1-1 mapping route_search_repair No equivalent command Not applicable route_spreadwires n/a No equivalent command Not applicable route_track n/a No equivalent command Not applicable
route_widen_wire n/a No equivalent command Not applicable route_zrt_auto route_auto 1-1 mapping route_zrt_clock_tree route_group Similar but not exactly the
same
Equivalent to route_group -all_clock_nets
route_zrt_detail route_detail 1-1 mapping route_zrt_eco route_eco 1-1 mapping route_zrt_global route_global 1-1 mapping route_zrt_group route_group 1-1 mapping route_zrt_track route_track 1-1 mapping rp_group_inclusions get_rp_group_objects Similar but
not exactly the
same
Use -rp_group
rp_group_instantiations No equivalent command rp_group_references get_rp_group_objects Similar but not exactly the
same
Use option -cell
run_distributed_tasks run_block_script Similar but not exactly the
same
Simplified usage model
run_parallel_jobs No equivalent command Not required run_signoff No equivalent command Not applicable saif_map saif_map Similar but not exactly the
same
There are command UI differences between IC Compiler and IC Compiler II PrimeTime PX consistency save_design_settings No equivalent command Not supported save_mw_cel save_block Similar but not exactly the
same
-overwrite: similar to -force in IC Compiler II -increase_version, -scenarios, and -check_only: not applicable -hierarchy is mapped to -hierarchical save_qtm_model No equivalent command Not required save_upf save_upf 1-1 mapping IC Compiler -supplemental
maps to IC Compiler II -format supplemental scan scan 1-1 mapping seek seek 1-1 mapping select_block_scenario set_block_to_top_map Similar but not exactly the
same
set_block_to_top_map is more powerful (allows mapping of clocks)
Option mapping: -scenarios and -block_scenario map to -corner and -mode -block_references maps to -block; note that in IC Compiler II the mapping is specified for the cell instance
For example,
IC Compiler:
select_block_scenario -block_references blk -scenarios top_scen -block_scenario blk_scen
IC Compiler II:
set_block_to_top_map -block blk_inst -corner {block_corner top_corner} -mode {block_mode top_mode} # blk_inst is the instantiation of blk # block_corner, block_mode correspond to blk_scen # top_corner, top_mode correspond to top_scen
select_mim_master_instance No equivalent command Not required send_flow_status send_status Closest match -job_name is not supported
-stage_name is mapped to -status -status is not supported -host is not supported -port is not supported -eof is not supported -verbose is not supported set set 1-1 mapping
IC Compiler IC Compiler II Category Comments
set_active_scenarios set_scenario_status Closest match The IC Compiler set_active_scenarios command specifies a list of scenarios to be active.
Usage: set_active_scenarios # specifies set of active scenarios
-all (set all scenarios active) { scenario_name1 scenario_name2 ... }
(specify set of active scenarios)
The IC Compiler II set_scenario_status command configures a list of scenarios and enables or disables the scenario for setup, hold, DRC, and so on Usage: set_scenario_status # Setup scenario for setup, hold, etc. analysis
-setup setup_flag (Control setup analysis) -hold hold_flag (Control hold analysis) -power power_flag (Control power analysis) -max_transition max_transition_flag
(Control max_transition DRC checking) -max_capacitance max_capacitance_flag
(Control max_capacitance DRC checking) -min_capacitance min_capacitance_flag
(Control min_capacitance DRC checking) -active active_flag (Allow specified analysis types to happen) -all (Turn on all analysis types) -none (Turn off all analysis types) scenario_list (List of scenarios) set_ahfs_options set_app_options Closest match IC Compiler II
has two application options related to high-fanout synthesis:
place_opt.initial_drc.global_route_based 0|1 place_opt.initial_drc.global_route_based_multi_threaded trueIfalse
For option mapping to the IC Compiler set_ahfs_options command:
-default_reference is mapped to set_lib_cell_purpose –include optimization -enable_port_punching is on-by-default -port_map_file is not supported -constant_nets is not supported -preserve_boundary_phase is on-by-default -no_port_punching is no supported; use
the set_freeze_ports command instead -global_route is mapped to place_opt.initial_drc.global_route_based with a setting of 1 set_always_on_cell No equivalent command IC Compiler II handles always-on dynamically set_always_on_strategy No equivalent
command IC Compiler II handles always-on dynamically set_annotated_check To be implemented - No
target yet set_annotated_delay set_annotated_delay Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_annotated_transition set_annotated_transition Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_aocvm_coefficient set_aocvm_coefficient 1-1 mapping set_app_var set_app_var 1-1 mapping set_area_recovery_options set_app_options Similar but not exactly the
same set_attribute set_attribute 1-1 mapping set_auto_disable_drc_nets No equivalent command Not required set_banking_guidance_strategy identify_multibit No equivalent command set_banking_guidance_strategy plus create_banking_guidance are mapped to
identify_multibit
Note: In IC Compiler II, use -mv_cell for level-shifter and isolation cells Options: -register_group_file is not needed; merged with -input_map_file -register | -mv_cell : New required options -wns_threshold is replaced by -slack_threshold_file and -slack_threshold -
exclude_size_only_flops is removed; replaced by the general -exclude_instance option -exclude_start_stop_scan_flops is not needed; excluded by default -common_net_pins is not needed -name_prefix is not needed; names are generated by concatenating
single bit names -maximum_flop_count is automatically determined -minimum_flop_count is automatically determined set_budgeted_delay set_latency_budget_constraints Closest match None of the options are supported; use the improved feature instead
set_buffer_opt_strategy set_app_options Similar but not exactly the
same set_case_analysis set_case_analysis 1-1 mapping set_cell_degradation No equivalent command set_cell_internal_power No equivalent command set_cell_location set_cell_location 1-1 mapping set_cell_mode set_cell_mode 1-1 mapping set_cell_row_type
No equivalent command Not supported set_cell_type No equivalent command Not supported set_cell_vt_type set_cell_vt_type Similar but not exactly the
same
Supported options are different: -library is not supported -lib_cell -vt_type are mapped to same option -silent is new set_check_library_options n/a No equivalent command Not applicable set_checkpoint_strategy No equivalent command Not supported
set_child_terminal No equivalent command Not required set_cle_options No equivalent command Not applicable set_clock_cell_spacing set_clock_cell_spacing Similar but not exactly the
same
Does not support -clocks
set_clock_gating_check set_clock_gating_check 1-1 mapping set_clock_gating_registers No equivalent command Not supported set_clock_groups set_clock_groups 1-1 mapping set_clock_latency set_clock_latency Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_clock_sense set_clock_sense 1-1 mapping set_clock_transition set_clock_transition Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_clock_tree_exceptions set_clock_balance_points
set_dont_touch set_size_only set_dont_touch_network
Similar but not exactly the same
Same usage but simplified through a single command
set_clock_tree_exceptions \ -preserve_hierarchy
set_freeze_ports –clock Similar but not exactly the
same
Same usage but simplified
set_clock_tree_optimization_options No equivalent command Not required set_clock_tree_options \ -max_fanout
cts.common.max_fanout Similar but not exactly the
same
Same usage but simplified
set_clock_tree_options \ -max_transition \ -max_capacitance
set_max_transition set_max_capacitance
Similar but not exactly the same
Same usage but simplified
set_clock_tree_options \ -routing_rule
set_clock_routing_rules Similar but not exactly the
same
Same usage but simplified
set_clock_tree_options \ -routing_rule
set_clock_routing_rules Similar but not exactly the
same
Same usage but simplified
set_clock_tree_options \ -target_skew \ -target_early_delay
set_clock_tree_options \ -target_skew \ -target_latency
Similar but not exactly the same
Same usage but simplified
set_clock_tree_references set_lib_cell_purpose
remove_clock_tree_reference_subset
Similar but not exactly the same
Clock cell references are controlled by using the general set_lib_cell_purpose command Clock-specific reference cell settings can be controlled by using a different command, set_clock_tree_reference_subset
set_clock_uncertainty set_clock_uncertainty Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_combinational_type No equivalent command Not applicable set_command_option_value No equivalent command Not applicable
IC Compiler IC Compiler II Category Comments
set_concurrent_clock_and_data_strategy ccd.ignore_ports_for_boundary_identi
fication ccd.optimize_boundary_timing ccd.skip_path_groups
Closest match Multiple options consolidated to a single command
set_congestion_options -max_util placer_max_cell_density_threshold
place.coarse.congestion_driven_ max_util place.coarse.max_density
Similar but not exactly the same
Changed to application options
set_congestion_options place.coarse.congestion_driven_
max_util
Similar but not exactly the same
Only -max_util is supported; other options are not supported
set_connection_class No equivalent command Not applicable set_context_margin No equivalent command Original Design Compiler command in IC Compiler; not supported in IC Compiler II
For budgets in IC Compiler II, use set_budget_margins Usage: set_budget_margins # Define timing margins to be used in budgets
-corner corner_name (Corner for margin values) -default (Set default for unspecified corners) -setup (Apply only to setup budgets) -hold (Apply only to hold budgets) -prects (Apply only where the block adjust option is set to prects) -target (Apply only where the block
adjust option is set to target) -actual (Apply only where the block adjust option is set to actual) -postcts (Apply only where the block adjust option is set to target or actual) -clock budget_clock_spec
(Specific clock for latency) -from_clock budget_clock_spec
(Specific 'from' clock for crp) -to_clock budget_clock_spec
(Specific 'to' clock for crp) -launch margin (Apply on budget segment that launch in the block) -capture margin (Apply on budget segment that capture in the block) set_cost_priority To be implemented - No
target yet
Not available yet - but planned
set_critical_range To be implemented - No
target yet
Not available yet - but planned
set_cts_batch_mode No equivalent command Not required set_cts_scenario No equivalent command Not required set_current_command_mode set_current_command_mode 1-1 mapping set_data_check set_data_check Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_default_drive No equivalent command Not required set_default_driving_cell No equivalent command Not required set_default_fanout_load No equivalent command Not required set_default_input_delay No equivalent command Not required set_default_load No
equivalent command set_default_output_delay No equivalent command Not required set_delay_calculation_options No equivalent command Not required set_delay_estimation_options No equivalent command Not required (covered by set_extraction_options)
set_design_attributes set_design_attributes Similar but not exactly the
same
IC Compiler II is missing -models option (not supported)
set_die_area No equivalent command Not supported set_direct_power_rail_tie No equivalent command Not used in IC Compiler II set_disable_clock_gating_check set_disable_clock_gating_check 1-1 mapping set_disable_timing set_disable_timing Similar but not
exactly the
same
Infastructure difference due to options such as -mode or -corner. '-loop_breaker' from IC Compiler II replaces '-restore' from IC Compiler
set_distributed_route n/a No equivalent command Not applicable set_domain_supply_net set_domain_supply_net 1-1 mapping UPF command set_dont_touch set_dont_touch 1-1 mapping set_dont_touch_network set_dont_touch_network 1-1 mapping All Design
Compiler and IC Compiler options are mapped 1:1 to IC Compiler II options
IC Compiler II supports two additional options, -clock_only and -clear set_dont_touch_placement set_placement_status Similar but not exactly the
same
additional option
set_dont_use set_lib_cell_purpose Closest match set_lib_cell_purpose provides finer control; use -exclude to exclude the lib_cell from specific engine(s). set_dp_int_round No equivalent command Not required set_drive set_drive Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_driving_cell set_driving_cell Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_droute_options n/a No equivalent command Not applicable set_em_options set_app_options -name em.net_* Similar but not exactly the
same
In IC Compiler II, -min and -max are no longer supported In IC Compiler II, -healing_factor is no longer supported. set_equal No equivalent command set_error_view_property gui_set_error_browser_option Closest match set_extraction_options
set_extraction_options Closest match The commands are similar. Only option names are slightly different. For example, -max_cap_scale in IC Compiler is -late_cap_scale in IC
Compiler II
IC Compiler II usage: set_extraction_options # set extraction options
-corners corners -late_cap_scale late_cap_scale -early_cap_scale early_cap_scale -late_res_scale late_res_scale -early_res_scale early_res_scale -late_ccap_scale late_ccap_scale -early_ccap_scale early_ccap_scale -late_vr_horizontal_cap_scale
late_vr_horizontal_cap_scale -early_vr_horizontal_cap_scale early_vr_horizontal_cap_scale -late_vr_vertical_cap_scale late_vr_vertical_cap_scale -early_vr_vertical_cap_scale early_vr_vertical_cap_scale -late_vr_horizontal_res_scale
late_vr_horizontal_res_scale -early_vr_horizontal_res_scale early_vr_horizontal_res_scale -late_vr_vertical_res_scale late_vr_vertical_res_scale -early_vr_vertical_res_scale early_vr_vertical_res_scale -late_vr_via_res_scale late_vr_via_res_scale -
early_vr_via_res_scale early_vr_via_res_scale -late_ccap_threshold late_ccap_threshold -early_ccap_threshold early_ccap_threshold -late_ccap_ratio late_ccap_ratio -early_ccap_ratio early_ccap_ratio -reference_direction vertical | horizontal | use_from_tluplus -

real_metalfill_extraction none | floating | ground | auto set_false_path set_false_path 1-1 mapping l h ld | f l set_fanout_load set_fanout_load 1-1 mapping set_fast_pba_analysis_options To be implemented - No
target yet set_fix_hold To be implemented - No
target yet
Not available and not planned
set_fix_hold_options set_app_options Similar but not exactly the
same set_fix_multiple_port_nets set_app_options Closest match IC Compiler II has the following application option:
opt.port.eliminate_verilog_assign true|false set_flip_chip_bump_attributes No equivalent command Not required set_flip_chip_cell_site No equivalent command Not required set_flip_chip_driver_array No equivalent command Not required set_flip_chip_driver_island
No equivalent command Not required set_flip_chip_driver_ring No equivalent command Not required set_flip_chip_driver_strip No equivalent command Not required set_flip_chip_grid No equivalent command Not required set_flip_chip_options No equivalent
command Not required
IC Compiler IC Compiler II Category Comments
set_fp_base_gate No equivalent command Not required set_fp_black_boxes_estimated create_blackbox Closest match None of the options are supported; use the improved feature instead set_fp_black_boxes_unestimated No equivalent command Not required
set_fp_block_ring_constraints set_pg_strategy Closest match None of the options are supported; use the improved feature instead set_fp_clock_plan_options Clock Trunk Planning ->Clock Trun
Planning; set_clock_trunk_endpoints
Closest match None of the options are supported; use the improved feature instead
set_fp_flow_strategy No equivalent command Not required set_fp_macro_array create_macro_array Closest match None of the options are supported; use the improved feature instead set_fp_macro_options set_macro_constraints Similar but not exactly the
same
Simplified usage model
set_fp_pin_constraints set_block_pin_constraints Similar but not exactly the
same
Simplified usage model
set_fp_placement_strategy set_macro_constraints Similar but not exactly the
same
Simplified usage model
set_fp_power_pad_constraints set_power_io_constraints Similar but not exactly the
same
Simplified usage model
set_fp_rail_constraints set_pg_strategy Similar but not exactly the
same
Strategy-based in IC Compiler II versus template-based in IC Compiler
set_fp_rail_extraction_options No equivalent command Not required set_fp_rail_region_constraints set_pg_strategy Similar but not exactly the
same
Strategy-based in IC Compiler II versus template-based in IC Compiler
set_fp_rail_strategy set_pg_strategy Similar but not exactly the
same
Strategy-based in IC Compiler II versus template-based in IC Compiler
set_fp_rail_voltage_area_constraints set_pg_strategy; split_constraints Closest match None of the options are supported; use the improved feature instead set_fp_relative_location set_macro_relative_location
remove_macro_relative_location
Similar but not exactly the same
None of the options are supported; use the improved feature instead
set_fp_shaping_strategy set_shaping_options Similar but not exactly the
same
-default is mapped to -reset
The following options are not supported:
-adjust_macro_locations, -avoid_power_grid, -cell_area_driven, -distance_to_power_grid, -max_shape_complexity, -preserve_abutment, -use_detailed_channel_size_estimation, -utilization_slack set_fp_strategy No equivalent command Not required
set_fp_trace_mode No equivalent command Not required set_fp_voltage_area_constraints split_constraints Closest match None of the options are supported; use the improved feature instead set_groute_options n/a No equivalent command Not applicable
set_hierarchy_color View->Assistants->Hierarchy
Exploration
Similar but not exactly the same
None of the options are supported; use the improved feature instead
set_host_options set_host_options Similar but not exactly the
same
-submit_options is merged with -submit_command -32bit is not supported -pool is not supported set_ideal_latency set_ideal_latency 1-1 mapping set_ideal_net No equivalent command Not required set_ideal_network set_ideal_network Similar but not exactly the
same
-dont_care_placement is not supported
set_ideal_transition set_ideal_transition 1-1 mapping set_ignore_cell_timing No equivalent command Not planned set_ignored_layers set_ignored_layers 1-1 mapping IC Compiler II has one new option: -verbose set_ignored_layers set_ignored_layers Closest
match set_input_delay set_input_delay Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_input_transition set_input_transition Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner, IC Compiler II command options align with PrimeTime
set_instance_based_routing_rule set_routing_rule Similar but not exactly the
same
Net-specific routing rules from set_routing_rule command are honored by clock tree synthesis; no separate command for this
set_inter_clock_delay_options create_clock_balance_group Similar but not exactly the
same
Multiple options are consolidated into a single option, -offset_latencies -target_delay_clock and -target_delay_value functionalities are supported through set_clock_tree_options -honor_sdc is supported through cts.balance_groups.honor_source_latency application
option set_inter_clock_delay_options create_clock_balance_group Similar but not exactly the
same
Multiple options are consolidated into a single option, -offset_latencies -target_delay_clock and -target_delay_value functionalities are supported through set_clock_tree_options -honor_sdc is supported through app_option cts.balance_groups.honor_source_latency
set_inter_io_ring_spacing set_pg_strategy Similar but not exactly the
same
None of the options are supported; use the improved feature instead
set_internal_object_color set_attribute $via cut_mask_constraint
$color
Similar but not exactly the same set_isolate_ports set_isolate_ports Similar but not exactly the
same
The same command in IC Compiler II adds true(default)|false option, which means that you can remove the isolation requirement by specifying false on a port if it has been set previously. If true|false is not specified, the command matches IC Compiler 1-1.
Example: set_isolate_ports qout #Specify that isolation is needed for qout set_isolate_ports qout false #Specify that isolation is not needed for qout
set_isolation set_isolation 1-1 mapping UPF command
-force_isolation option is not supported set_isolation_cell map_isolation_cell Closest match Use map_isolation_cell UPF command
Convert -data_pin and -enable_pin options to UPF strategy with set_isolation set_isolation_control set_isolation_control 1-1 mapping UPF command set_keepout_margin create_keepout_margin Closest match Added -inner and -layers options
set_latch_loop_breakers set_latch_loop_breaker 1-1 mapping set_latency_adjustment_options set_latency_adjustment_options Similar but not exactly the
same
Some options are different
set_leakage_optimization No equivalent command Not required set_left_right_filler_rule create_left_right_filler_cells Similar but not exactly the
same
Use create_left_right_filler_cells command to set and insert left/right filler cells. Supported options are different The -left, -right, and -lib_cell options are combined to -lib_cell {{{center_cell_list1} {left_list1} {right_list1}} set_level_shifter set_level_shifter 1-1 mapping
UPF command set_level_shifter_cell map_level_shifter_cell Closest match Use the map_level_shifter_cell UPF command
Convert options to UPF strategy with set_level_shifter set_level_shifter_strategy set_level_shifter 1-1 mapping UPF command set_level_shifter_threshold set_level_shifter -threshold Similar but not exactly the
same
IC Compiler -voltage and -percent options map to set_level_shifter -threshold, but usage is different
set_lib_attribute set_attribute 1-1 mapping IC Compiler options mapped to IC Compiler II 1-1 set_lib_cell_spacing_label set_placement_spacing_label Similar but not exactly the
same
Different option names
set_libcell_subset No equivalent command Not required set_link_library_subset No equivalent command Not supported set_load set_load Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner, IC Compiler II command options align with PrimeTime
set_local_link_library No equivalent command Not supported set_logic_dc No equivalent command set_logic_one No equivalent command set_logic_zero No equivalent command set_macro_cell_bound_spot set_macro_constraints Similar but not exactly the
same
None of the options are supported; use the improved feature instead
set_matching_type No equivalent command set_max_area No equivalent command Not required set_max_capacitance set_max_capacitance Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner, additional '-db' option in IC Compiler II
set_max_delay set_max_delay Closest match Missing ' -group_path' in IC Compiler II. IC Compiler II matches with PrimeTime. No plan to match with IC Compiler. set_max_dynamic_power No equivalent command Not required set_max_fanout set_max_fanout 1-1
mapping set_max_leakage_power No equivalent command Not required set_max_net_length set_app_options Similar but not exactly the
same set_max_time_borrow set_max_time_borrow Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
IC Compiler IC Compiler II Category Comments
set_max_transition set_max_transition Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner, additional '-db' option in IC Compiler II
set_mcmm_job_options No equivalent command Not required set_message_info set_message_info 1-1 mapping set_min_capacitance set_min_capacitance Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner, additional '-db' option in IC Compiler II
set_min_delay set_min_delay 1-1 mapping set_min_library No equivalent command Not required set_min_pulse_width No equivalent command Target for future release set_minimum_budgeted_delay set_latency_budget_constraints;
compute_budget_constraints
Similar but not exactly the same
None of the options are supported; use the improved feature instead
set_mode set_cell_mode 1-1 mapping set_mpc_macro_array No equivalent command Not required set_mpc_macro_options No equivalent command Not required set_mpc_options No equivalent command Not required set_mpc_pnet_options No equivalent
command Not required set_mpc_port_options No equivalent command Not required set_mpc_rectilinear_outline No equivalent command Not required set_mpc_ring_options No equivalent command Not required set_mtcmos_pna_strategy No equivalent command
Not required set_multi_vth_constraint set_max_lvth_percentage Similar but not exactly the
same
In IC Compiler II can set percentage only
set_multi_vth_constraint -reset remove_max_lvth_percentages set_multicycle_path set_multicycle_path 1-1 mapping set_multisource_options create_multisource_clock_sink_group Similar but not exactly the
same
Simplified usage model Commands available for incremental changes and debug (*multisource_clock_sink_group*) set_mw_lib_reference set_ref_libs Similar but not exactly the
same
-reference_control_file is not supported in IC Compiler II -mw_reference_library is similar to -ref_libs in IC Compiler II libName required in IC Compiler, but optional in IC Compiler II with -library option set_mw_technology_file read_tech_file Closest match -
technology: not required; you can specify the technology file directly
-alf is not supported by read_tech_file libName is not needed; read_tech_file applies to the current library set_name set_attribute Similar but not exactly the
same
You can change the name attribute directly in IC Compiler II
set_net_aggressors To be implemented - No
target yet
Not implemented yet
set_net_routing_corridor add_to_routing_corridor Similar but not exactly the
same
See man page
set_net_routing_layer_constraints set_routing_rule Similar but not exactly the
same
-min_layer_name is mapped to -min_routing_layer -max_layer_name is mapped to -max_routing_layer set_net_routing_rule set_routing_rule
set_attribute net physical_status
Similar but not exactly the same
-rule is mapped to either -rule or -default_rule -reroute is mapped to set_attribute net physical_status locked|minor_change|unrestricted -timing_driven_spacing is not supported -top_layer_probe has no equivalence set_net_search_pattern_delay_estimation_opt
ions
No equivalent command Use set_routing_rule on nets instead
set_net_search_pattern_priority No equivalent command Not required set_noise_immunity_curve To be implemented - No
target yet
Not implemented yet
set_noise_lib_pin To be implemented - No
target yet
Not implemented yet
set_noise_margin To be implemented - No
target yet
Not implemented yet
set_object_boundary set_boundary Closest match -bbox and -boundary are mapped to -boundary
-keep_* and -ignore_fixed are not supported set_object_fixed_edit set_fixed_objects Similar but not exactly the
same
Improved usage
set_object_shape set_object_shape Similar but not exactly the
same
Improved usage
set_object_snap_type set_snap_setting Similar but not exactly the
same
set_object_snap_type is not supported set_snap_setting is supported set_opcond_inference No equivalent command Not required set_operating_conditions set_operating_conditions 1-1 mapping set_opposite To be implemented - No
target yet
Not requested yet
set_optimization_strategy set_app_options Similar but not exactly the
same set_optimize_dft_options set_app_options Similar but not exactly the
same set_optimize_pre_cts_power_options No equivalent command Not required set_output_clock_port_type No equivalent command Not required set_output_delay set_output_delay Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_pad_physical_constraints set_signal_io_constraints Similar but not exactly the
same
None of the options are supported; use the improved feature instead
set_parameter n/a No equivalent command Not applicable set_partial_on_translation set_partial_on_translation 1-1 mapping UPF command set_partition_data No equivalent command Not required set_path_margin set_path_margin Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_pg_pin_model No equivalent command Must edit in IC Compiler II Library Manager set_physical_signoff_options set_app_options Closest match set_app_options -name signoff.create_metal_fill.apply_nondefault_rules -value false
set_physopt_cpulimit_options No equivalent command set_pi_model To be implemented - No
target yet set_pin_model Not supported set_pin_name_synonym No equivalent command Not supported set_pin_physical_constraints set_individual_pin_constraints Similar but not exactly the
same
-pin_name is mapped to -pins -layers is mapped to -allowed_layers -depth is mapped to length -order is not supported -exclude_sides is not supported object_list is not supported; use -ports, -pins, -nets, and -cells set_place_opt_cts_strategy No equivalent
command set_place_opt_strategy set_app_options Similar but not exactly the
same set_pnet_options No equivalent command Power net check is on-by-default set_port_attributes set_port_attributes 1-1 mapping UPF command
-repeater_supply is not supported yet set_port_fanout_number No equivalent command set_port_location No equivalent command Not supported set_power_guide create_voltage_area Closest match No direct mapping for this command, but you can change the
exclusive move bounds that it refers to into a voltage area (gas station)
set_power_net_to_voltage_area No equivalent command Available power nets implied by power domains of that voltage area set_power_plan_strategy set_pg_strategy Similar but not exactly the
same
-power_plan_regions is mapped to -pg_regions -template is not supported set_power_ring_strategy set_pg_strategy Closest match None of the options are supported; use the improved feature instead set_power_switch_cell map_power_switch Closest match UPF
command
library cell name is mapped to -lib_cells -is_macro is not needed (determined from the reference library) -switch and -pg_pin are not needed set_power_switch_place_pattern_strategy set_power_switch_placement_pattern Similar but not exactly the
same
-flip and -connect_power_switch are not supported -connect_power_switch is not needed; the intra-pattern control net connection is always done during power-switch insertion
IC Compiler IC Compiler II Category Comments
set_prefer set_lib_cell_purpose Similar but not exactly the
same set_preferred_routing_direction set_attribute Similar but not exactly the
same
set_attribute -objects [get_layers layer_names] -name routing_direction -value horizontal|vertical
set_preroute_advanced_via_rule set_pg_via_master_rule Closest match The following options are not supported:
-move_via_to_center, -offset_both_sides, -x_offset_recommended, -y_offset_recommended, -x_step, -y_step, -cut_layer, -size_by_via_area, and -align_stack -x_offset and -y_offset are mapped to -offset -contact_codes is mapped to -contact_code -cut_spacings is
mapped to -cut_spacing -size_by_array_dimensions is mapped to -via_array_dimension -rotation_mode is mapped to -orient set_preroute_drc_strategy No equivalent command Not required set_preroute_focal_opt_strategy set_app_options Similar but not exactly
the
same set_preroute_special_rules No equivalent command Not required set_preserve_clock_gate No equivalent command Not required set_primetime_options No equivalent command Not applicable set_propagated_clock set_propagated_clock 1-1 mapping
set_pulse_clock_cell No equivalent command Not required set_qtm_global_parameter create_blackbox_constraint Similar but not exactly the
same
None of the options are supported; use the improved feature instead
set_qtm_port_drive create_blackbox_drive_type Similar but not exactly the
same
None of the options are supported; use the improved feature instead
set_qtm_port_load create_blackbox_load_type Similar but not exactly the
same
None of the options are supported; use the improved feature instead
set_qtm_technology No equivalent command Not required set_rail_integrity_layout_check_strategy No equivalent command set_rail_options No equivalent command Not required set_read_stream_options set_app_options
read_gds and read_oasis
Closest match See the read_gds application note (SolvNet article 2207670)
Note: read_gds and read_oasis are available only in icc2_lm_shell set_reference_cell_routing_rule No equivalent command Feature not yet supported set_related_supply_net set_related_supply_net Similar but not exactly the
same
-reset is not supported; use reset_upf
set_resistance No equivalent command Not supported in IC Compiler II set_retention_cell map_retention_cell Closest match Duplicate of map_retention_cell UPF command
-cell_type is mapped to -lib_cell_type -retention_pin is not needed, comes from UPF strategy library cell argument is mapped to -lib_cells set_retention_control set_retention_control 1-1 mapping UPF command
-assert_r_mutex option not supported (IC Compiler II) -assert_s_mutex option not supported (IC Compiler II) -assert_rs_mutex option not supported (IC Compiler II) set_route_flip_chip_options No equivalent command Not required set_route_mode_options n/a No
equivalent command Not applicable set_route_opt_strategy set_app_options route_opt.* Similar but not exactly the
same set_route_opt_zrt_crosstalk_options set_app_options route_opt.* Similar but not exactly the
same set_route_options -enable_user_enter_sub_route_type
(no need; covered by define_user_attribute)
No equivalent command Not applicable
set_route_rdl_options No equivalent command Not required set_route_type No equivalent command Not applicable set_route_zrt_common_options set_app_options Closest match set_app_options -name route.common.allow_pg_as_shield -value false
set_route_zrt_detail_options set_app_options Closest match set_app_options -name route.detail.antenna -value false set_route_zrt_global_options set_app_options Closest match set_app_options -name route.detail.antenna -value false
set_route_zrt_track_options set_app_options Closest match set_app_options -name route.track.crosstalk_driven -value false set_row_type No equivalent command Not supported set_rp_group_options set_rp_group_options Closest match Same command but
different options:
-alignment options are mapped to –alignment left | right | pin -pin_align_name is mapped to -pin_name -cts_option is mapped to -optimization_restriction -route_opt_option is mapped to -optimization_restriction -psynopt_option is mapped to -optimization_restriction
-allow_keepout_over_tapcell is mapped to option during add_to_rp_group using “allow_overlap” -allow_non_rp_cells is mapped to the place.rp.allow_non_rp_cells application option -group_orient is mapped to -group_orientation (values are different too) -
auto_blockage is on-by-default -cell_orient_opt, -disable_buffering, -anchor_column, -anchor_row, -max_rp_width, and -max_rp_height are not supported -ignore and -ignore_rows are dropped
set_scaling_lib_group No equivalent command Not required set_scenario_options set_scenario_status Similar but not exactly the
same set_scope set_scope 1-1 mapping UPF command set_separate_process_options No equivalent command Not applicable set_si_options set_app_options -name time.si_enable_analysis
Similar but not exactly the same
Not all of the options are available in IC Compiler II yet
set_size_only set_size_only 1-1 mapping set_skew_group create_clock_skew_group Similar but not exactly the
same
-target_skew and -target_early_delay are not supported
set_spacing_label_rule set_placement_spacing_rule Similar but not exactly the
same
-adjacent_rows is added
set_split_clock_gates_options No equivalent command Not required set_starrcxt_options No equivalent command Not applicable set_steady_state_resistance No equivalent command Not applicable set_stream_layer_map_file set_layer_map_file Closest match -
lib_name is mapped to -library
-remove is mapped to the remove_layer_map_file command -format in out keywords are not supported; use gds instead set_switching_activity set_switching_activity Closest match There are command UI differences between IC Compiler and IC Compiler II
PrimeTime PX consistency set_synlib_dont_get_license No equivalent command Not applicable set_target_library_subset Similar but not exactly the
same
-object_list is mapped to -objects -milkyway_reflibs: not applicable in IC Compiler II set_timing_derate set_timing_derate Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
set_timing_ranges No equivalent command Not required set_tlu_plus_files read_parasitic_tech;
set_parasitic_parameters
Closest match In IC Compiler, the TLUPlus files are loaded based on set_tlu_plus_files when the floorplan is created.
In IC Compiler II, the TLUPlus files need to be explicitly loaded using read_parasitic_tech.
Usage: read_parasitic_tech # read tlu plus files
-tlup tlup_files -layermap layer_map_file -name name
set_parasitic_parameters # Set parasitic parameter for extraction
-corners corner -early_spec early_spec -early_temperature early_temperature -late_spec late_spec -late_temperature late_temperature -library library_name set_top_implementation_options set_hierarchy_options No equivalent command Not needed in IC
Compiler II; linking works automatically
UI for transparent interface optimization will be added in a future release
IC Compiler IC Compiler II Category Comments
set_total_power_strategy set_app_options -name
opt.power.mode -value total
Closest match
set_true_delay_case_analysis No equivalent command Not needed in IC Compiler II set_undoable_attribute set_attribute 1-1 mapping set_ungroup ungroup_cells Closest match None of the options are supported; use the improved feature instead set_unix_variable
setenv 1-1 mapping set_user_grid set_grid Closest match set_utilization No equivalent command Not required set_via_array_size set_via_def Similar but not exactly the
same
-array_size is mapped to -size via_collection argument is mapped to -vias set_voltage set_voltage Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner IC Compiler II command options align with PrimeTime set_voltage_model No equivalent command Not applicable set_vt_filler_rule set_vt_filler_rule Similar but not exactly the
same
Supported options are different:
-library is not supported -lib_cell and -vt_type are mapped to the same option -silent is new set_write_stream_options set_app_options
write_gds and write_oasis
Closest match See the write_gds application note in SolvNet for detailed usage
set_xtalk_route_options No equivalent command Not applicable set_zero_interconnect_delay_mode set_app_options -name
time.delay_calculation_style -value zero_interconnect
Closest match
set_zrt_net_properties No equivalent command Not applicable setenv setenv 1-1 mapping sh sh 1-1 mapping sh_list_key_bindings No equivalent command Not applicable shape_fp_blocks shape_blocks Similar but not exactly the
same
The following options are not supported:
-rectilinear, -refine_placement, -top_down, -sliver_threshold, and -place_submacros shell_is_in_upf_mode No equivalent command signoff_autofix_drc signoff_fix_drc 1-1 mapping signoff_calculate_hier_antenna_property signoff_calculate_hier_antenna_prope
rty
1-1 mapping
signoff_drc signoff_check_drc 1-1 mapping signoff_metal_fill signoff_create_metal_fill 1-1 mapping signoff_opt No equivalent command Not applicable size_cell size_cell Closest match -freeze_silicon is not supported sizeof_collection sizeof_collection 1-1 mapping
skew_opt No equivalent command Not required slot_wire No equivalent command Not required snap_objects snap_objects Similar but not exactly the
same
-snap_pin_to_edge is not supported
socket socket 1-1 mapping sort_collection sort_collection 1-1 mapping sort_fp_pins No equivalent command Not required source source 1-1 mapping split split 1-1 mapping split_clock_gates No equivalent command Not yet supported split_clock_net
synthesize_multisource_clock_subtree
s
Closest match Simplified usage model
*multisource_clock_subtree* commands control structural multisource clock tree synthesis *multisource*name* application options control the naming conventions Command does merging, splitting, and optimization of the postmesh structure to meet QoR targets;
optimize_clock_tree -postmesh is no longer required split_multisource_clock synthesize_multisource_clock_taps Similar but not exactly the
same
Simplified usage model. *multisource_clock_tap* commands control regular multisource clock tree synthesis *multisource*name* application options control naming conventions Command merges clock gates before splitting -copy_constraints is not required;
constraints are copied by default -ocv_aware, -remove_unused_taps, tap synthesis options are not yet supported split_mw_lib No equivalent command Not supported split_net No equivalent command Not applicable split_objects split_objects Similar but not exactly
the
same
The following options are not supported:
-x, -y, and -gap split_rdl_route split_rdl_routes 1-1 mapping split_register_bank split_multibit 1-1 mapping split_zrt_net No equivalent command Not applicable spread_spare_cells spread_spare_cells Closest match -bbox is mapped to -boundary
-respect_voltage_areas is mapped to -voltage_areas -polygon is mapped to -boundary spread_zrt_wires spread_wires 1-1 mapping string string 1-1 mapping sub_instances_of No equivalent command Not supported subst subst 1-1 mapping suppress_message
suppress_message 1-1 mapping swap_cell_locations No equivalent command Not required switch switch 1-1 mapping synthesize_fp_rail No equivalent command Not required synthesize_fp_rings No equivalent command Not required tell tell 1-1 mapping time time
1-1 mapping trace trace 1-1 mapping transform_coordinates No equivalent command Not supported (IC Compiler II supports transparent hierarchy; no need to transform the coordinates between the top level and subblocks)
trim_fill_eco No equivalent command Not applicable unalias unalias 1-1 mapping uncommit_fp_soft_macros uncommit_block Similar but not exactly the
same
None of the options are supported; use the improved feature instead
uncompress_scenarios No equivalent command Not required undefine_bus remove_net_buses
remove_port_buses
Similar but not exactly the same
Not applicable
undo undo Similar but not exactly the
same
-all is mapped to -levels -mark is mapped to -marker undo_config set_app_options -name
shell.undo.enabled -value false set_app_options -name shell.undo.max_levels -value 100 set_app_options -name shell.undo.max_memory -value 1000000000
Similar but not exactly the same
-max_depth is mapped to shell.undo.max_levels -max_memory is mapped to shell.undo.max_memory -enable and -disable are mapped to shell.undo.enabled -depth and -memory are not supported
undo_mark create_undo_marker Similar but not exactly the
same ungroup ungroup_cells Similar but not exactly the
same
-prefix, -simple_names, -small, -force, -soft, -start_level, and -all_instances are not supported
uniquify uniquify Similar but not exactly the
same
-force and -dont_skip_empty_designs are not supported -cell is mapped to the cell_list positional argument -base_name and -new_name: no such fine control in IC Compiler II; use the design.uniquify_naming_style application option to specify the naming style of
the new reference uniquify_fp_mw_cel uniquify Similar but not exactly the
same
-block_abstractions, -store_mim_property, and mw_cel_name are not applicable
unload No equivalent command Not applicable unset unset 1-1 mapping unset_hierarchy_color remove_colors Similar but not exactly the
same
None of the options are supported; use the improved feature instead
unset_power_guide remove_voltage_areas Closest match Removes gas station voltage areas unsetenv unsetenv 1-1 mapping unsuppress_message unsuppress_message 1-1 mapping
IC Compiler IC Compiler II Category Comments
update update 1-1 mapping update_bounds remove_from_bound / add_to_bound Similar but not exactly the
same
-add is mapped to add_to_bound -remove is mapped to remove_from_bound -bound is mapped to bound object update_clock_latency compute_clock_latency 1-1 mapping update_flip_chip_pin_locations No equivalent command Not required update_lib No
equivalent command Not applicable update_lib_model No equivalent command update_lib_pg_pin_model No equivalent command update_lib_pin_model No equivalent command update_lib_voltage_model No equivalent command update_physical_bus No
equivalent command Not supported update_power_plan_region No equivalent command Not required update_routing_corridor create_routing_corridor_shape and
remove_routing_corridor_shapes
Similar but not exactly the same
See man pages
update_routing_corridor create_routing_corridor_shape
remove_routing_corridor_shapes
Similar but not exactly the same
-mode add is mapped to create_routing_corridor_shape -mode remove is mapped to remove_routing_corridor_shapes -mode update: use set_attribute -corridor is mapped to -routing_corridor of create_routing_corridor_shape -bbox and -rectangles are mapped to -
boundary update_timing update_timing 1-1 mapping update_voltage_area set_voltage_area Similar but not exactly the
same
Different usage (see the multivoltage documentation for details)
upf_version upf_version 1-1 mapping uplevel uplevel 1-1 mapping upvar upvar 1-1 mapping variable variable 1-1 mapping verify_lvs check_lvs 1-1 mapping verify_pg_nets verify_pg_nets Similar but not exactly the
same
-macro_pin_connection is mapped to -check_macro_pins -pad_pin_connection is mapped to -check_pad_pins -std_cell_pin_connection is mapped to -check_std_cell_pins
The following option is not supported:
-error_cell verify_rail_integrity No equivalent command verify_route n/a No equivalent command Not applicable verify_zrt_route check_routes 1-1 mapping virtual_ipo estimate_timing Similar but not exactly the
same
None of the options are supported; use the improved feature instead
vwait vwait 1-1 mapping which which 1-1 mapping while while 1-1 mapping widen_zrt_wires widen_wires 1-1 mapping win_select_objects win_select_objects 1-1 mapping win_set_filter win_set_filter 1-1 mapping win_set_select_class win_set_select_class 1-1
mapping window_stretch Edit->Stretch Closest match Simplified usage model write No equivalent command Not supported; use individual write_* write_aif write_aif 1-1 mapping Simplified usage model write_app_var write_app_var 1-1 mapping write_def write_def
Similar but not exactly the
same
-output is mapped to file_name argument -unit is mapped to -units -compressed is mapped to -compress method -all_vias is mapped to -include_tech_via_definitions -lef is not supported -no_legalize and -verbose are not supported -via_style_as_generated is not
required (default behavior in IC Compiler II) Other options are mapped to -include and -exclude options write_design_lib_paths No equivalent command Not supported write_design_settings No equivalent command Not supported write_environment No equivalent
command Not supported write_flip_chip_nets No equivalent command Not required write_floorplan write_floorplan Similar but not exactly the
same
-create_terminal, -create_bound, -placement, -row, -track, -no_bound, -no_voltage_area,
-no_placement_blockage, -no_route_guide, -preroute, -net_shape, -user_shape, -include_shapes_on_non_routing_layers, -no_create_boundary, -pin_guide, -all, -sm_placement, -sm_placement_blockage, -sm_route_guide, -sm_voltage_area, -sm_bound, -
sm_cell_row, -sm_track, -sm_preroute, and -sm_all are mapped to -include and -exclude file_name is mapped to -output
The following options are not supported: -cell, -no_plan_group, -sm_plan_group write_lib No equivalent command Not applicable write_lib_specification_model No equivalent command Not applicable write_link_library No equivalent command Not supported
write_mw_lib_files write_tech_file Closest match -technology is not required (default behavior)
-reference_control_file is not supported -stream_layer_map_file is not supported -output is not required; specify the file name directly in IC Compiler II write_parasitics write_parasitics Similar but not exactly the
same write_physical_constraints No equivalent command Not supported write_physical_script No equivalent command Not supported write_pin_pad_physical_constraints write_pin_constraints Similar but not exactly the
same
-library is not supported -cell is not supported -constraint_type is mapped to -physical_pin_constraint -pin_only is mapped to -pins -pad_only is mapped to -ports -objects is mapped to -nets, -pins, -ports, and -bundles file argument is mapped to -file_name

write_power_plan_regions No equivalent command Not required write_rail_integrity_strategy No equivalent command write_rail_options No equivalent command write_route write_routes (K-2015.06-SP1) Similar but not exactly the
same
write_routes outputs routing, write_floorplan outputs routing blockages User attributes and via definitions are on-by-default in IC Compiler II -skip_route_guide and -output_metal_fill are removed in IC Compiler II write_rp_groups write_rp_groups Similar but not
exactly the
same
Differences in options
write_saif write_saif Similar but not exactly the
same
UI differences due to PrimeTime PX consistency
write_script write_script Similar but not exactly the
same
Different usage: In IC Compiler II, this command writes the design constraints and settings to a target directory Use -include and -exclude to control what data is written out. write_sdc write_sdc Similar but not exactly the
same
Infastructure difference due to options such as -mode or -corner
write_sdf No equivalent command Not supported in IC Compiler II write_stream write_gds
write_oasis
Similar but not exactly the same
set_write_stream_options plus write_stream are mapped to write_gds options in IC Compiler II See the man page for a detailed description of the options
IC Compiler IC Compiler II Category Comments
write_verilog write_verilog Similar but not exactly the
same
-pg, -no_*, -empty_module, -wire_declaration, -supply_statement, etc are mapped to -include and -exclude in IC Compiler II -force_output_references and -force_no_output_references are mapped to -force_reference and -force_no_reference -
keep_backslash_before_hiersep is not applicable -diode_port is not required (default behavior in IC Compiler II) -no_unconnected_cells, -unconnected_ports, -output_net_name_for_tie, and -verbose are not supported -macro_definition is mapped to -hierarchy all -
top_only is mapped to -hierarchy top
write_via_region report_via_regions Similar but not exactly the
same
Equivalent function but different option names IC Compiler II report_via_regions is design-based; by default, all via region information is exported replace_fillers_by_rules Similar but not exactly the
same

You might also like