Icc Commands
Icc Commands
add_buffer_on_route add_buffer_on_route Closest match IC Compiler II does not have -no_eco_route and -no_legalize
IC Compiler II has -max_distance_to_route, -detect_layer, -snap_to_sites, -respect_gas_station,
-respect_voltage_areas, -max_distance_for_incomplete_route
add_clock_drivers create_clock_drivers Similar but not exactly the Simplified usage model with new options
same All loads of input net are assigned to a single driver at the last level and supports clock gates as well
add_distributed_hosts set_host_options Closest match Not applicable
add_drc_error_detail create_drc_error_shapes Closest match
create_drc_error_data None of the options are supported; use the improved feature instead
add_end_cap set_boundary_cell_rules Closest match Not required
compile_boundary_cells
add_multisource_drivers create_clock_drivers Similar but not exactly the Simplified usage model with new options
same
add_open_drc_error_detail create_drc_error_shapes Closest match
create_drc_error_data None of the options are supported; use the improved feature instead
add_pg_pin_to_db n/a No equivalent command Not applicable
add_pg_pin_to_lib n/a No equivalent command Not applicable
add_port_state add_port_state 1-1 mapping UPF command
add_power_state add_power_state 1-1 mapping UPF command
all_registers all_registers Similar but not exactly the Infastructure difference due to options such as -async_pins
same
all_rp_groups get_rp_group_objects -hierarchical - Similar but not exactly the More options
rp_group same
all_rp_hierarchicals get_rp_groups Similar but not exactly the Use –of_objects <rp_group> option
same
all_rp_inclusions get_rp_groups Similar but not exactly the Use –of_objects <rp_group> option
same
all_rp_instantiations No equivalent command
all_rp_references No equivalent command
all_scenarios all_scenarios 1-1 mapping
all_size_only_cells get_cells -hierarchical -filter Similar but not exactly the No option mapping
size_only==true same
all_spare_cells get_cells -hierarchical -filter Similar but not exactly the No option mapping
is_spare_cell==true same
all_threestate No equivalent command Not supported
all_tieoff_cells No equivalent command Not supported
allocate_fp_budgets compute_budget_constraints Similar but not exactly the None of the options are supported; use the improved feature instead
same
analyze_design_violations analyze_design_violations 1-1 mapping
analyze_fp_rail analyze_power_plan Closest match
analyze_library No equivalent command
analyze_logic_connectivity explore_logic_hierarchy Similar but not exactly the None of the options are supported; use the improved feature instead
same
analyze_mv_design report_mv_path Similar but not exactly the Similar use case, but command usage is different (path-based instead of design-based)
same -verbose is not applicable
-always_on is not applicable; always-on treatment is different
-from and -to are not applicable; path is automatically calculated from -pin or -net
analyze_rail No equivalent command Not applicable
analyze_subcircuit analyze_subcircuit Similar but not exactly the Simplified usage model
same
append append 1-1 mapping
append_to_collection append_to_collection 1-1 mapping
apply apply 1-1 mapping
apply_fast_pba_analysis No equivalent command
apropos apropos 1-1 mapping
archive_design write_lib_package Closest match The options do not match exactly due to the usage differences. The following options have similar usage:
-source is mapped to -library
-design is mapped to -blocks
-archive is mapped to output_file_name
-exclude_ref_lib is mapped to -exclude_ref_libs
Note: -library and -blocks are optional in IC Compiler II; if not specified, the current library or block is used
array array 1-1 mapping
assign_flip_chip_nets No equivalent command
associate_mv_cells associate_mv_cells 1-1 mapping
associate_supply_set associate_supply_set 1-1 mapping
attributes? get_switching_activity No equivalent command
balance_inter_clock_delay balance_clock_groups Similar but not exactly the Does not have any options and these are not required
same
binary binary 1-1 mapping
break break 1-1 mapping
calculate_caa_based_yield2db n/a No equivalent command Not applicable
case case 1-1 mapping
catch catch 1-1 mapping
cd cd 1-1 mapping
chan chan 1-1 mapping
change_connection No equivalent command Not applicable
change_fp_soft_macro_to_black_box No equivalent command Automatically defined by the tool
change_link change_link Similar but not exactly the -view is not supported; use change_view in IC Compiler II
same -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option
change_macro_view change_view Closest match -quiet option is not supported in IC Compiler II
The supported view names are different in IC Compiler and IC Compiler II
change_names change_names Similar but not exactly the New in K-2015.06-SP2
same -names_file, -restore, and -skip_inactive_constraints are not supported yet
change_selection change_selection 1-1 mapping
change_via_master set_via_def Similar but not exactly the New mapping in K-2015.06
same -via_master is mapped to -via_def
via argument is mapped to -vias
change_working_design set_working_design Similar but not exactly the -readonly and -discard are not supported
same
change_working_design_stack set_working_design_stack 1-1 mapping
characterize No equivalent command
check_block_abstraction check_hier_design 1-1 mapping IC Compiler II version is better; it works for both abstract and design view instances
Supported from K-2015.06-SP3
check_clock_tree check_clock_trees Similar but not exactly the Does not support -solution_template and -solution_for options
same
check_database No equivalent command Will be supported in a future release
check_design No equivalent command
check_error No equivalent command Not applicable
check_fp_budget_result report_budget Similar but not exactly the None of the options are supported; use the improved feature instead
same
check_fp_pin_alignment check_pin_placement Similar but not exactly the None of the options are supported; use the improved feature instead
same
check_fp_pin_assignment check_pin_placement Similar but not exactly the None of the options are supported; use the improved feature instead
same
check_fp_rail analyze_power_plan Closest match -pad_masters is mapped to -pad_references
-read_default_power_file is mapped to -read_power_file
-read_prime_power_file is mapped to -read_power_file
-use_pins_as_pads is mapped to -use_terminals_as_pads
-voltage_supply is mapped to -voltage
check_isolation_cells check_mv_design Closest match check_mv_design includes isolation checking among other checks
Options are covered by default check_mv_design behavior
check_legality check_legality 1-1 mapping
check_level_shifters check_mv_design Closest match check_mv_design includes level shifter checking among other checks
Options are covered by default check_mv_design behavior
check_library report_lib Similar but not exactly the New feature in IC Compiler II and focus on physical properties
same
check_license check_license Similar but not exactly the IC Compiler II accepts only one feature at a time, while IC Compiler accepts multiple features
same
check_mpc report_constraints Similar but not exactly the None of the options are supported; use the improved feature instead
same
IC Compiler IC Compiler II Category Comments
check_mv_design check_mv_design Closest match Report formatting and some checks are different
-clock_gating_style is on-by-default
-connection_rules is on-by-default
-diode_cells is on-by-default
-isolation is on-by-default
-level_shifters is on-by-default
-opcond_mismatches is on-by-default
-power_nets is mapped to -power_connectivity
-target_library_subset is on-by-default
Most IC Compiler clock_opt options are mapped to application options or new stage control in IC Compiler II. For example,
-no_clock_route is mapped to skipping the second stage (route_clock) with -from and -to control
-inter_clock_balance (perform interclock delay balancing) is mapped to calling balance_clock_groups after clock tree synthesis and
optimization within the clock_opt core command
-concurrent_clock_and_data is mapped to the clock_opt.flow.enable_ccd application option with a setting of true
-update_clock_latency is not required; the clock_opt command automatically updates the clock latency after clock routing
-only_psyn is mapped to clock_opt -from final_opto
-only_cts (perform only clock tree synthesis, clock tree optimization, and clock routing) is mapped to clock_opt -from build_clock -to
route_clock
-optimize_dft is on-by-default
copy_objects copy_objects Similar but not exactly the -delta and -to are mapped to -delta
same -use_same_net is mapped to -net net
-x_pitch_type and -y_pitch_type are new
count_drc_violations No equivalent command Not applicable
cputime cputime 1-1 mapping
create_auto_shield n/a No equivalent command Not applicable
create_base_array No equivalent command Not supported
create_block_abstraction create_abstract 1-1 mapping Option mapping:
o In general, IC Compiler II has more flexibility in terms of options
o -include is not supported (In IC Compiler, customers were mostly using this option to retain objects that are referenced in the top-level
SDC. Because IC Compiler II retains objects with constraints during create_abstract, the impact of the lack of support for this option is
minimized).
create_boundary set_attribute current_block boundary Closest match boundary is an attribute of the block object
create_bounds create_bound Similar but not exactly the -coordinate is mapped to -boundary
same -cycle_color and -color are not supported
create_buffer_tree create_buffer_trees Similar but not exactly the
same
create_cell create_cell Similar but not exactly the -view is not supported
same -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option
-hierarchical; use create_module to create a module, and then use create_cell
create_clock create_clock 1-1 mapping
create_clock_mesh create_clock_straps Similar but not exactly the Simplified usage model supports wide range of custom clock mesh and strap creation
same
create_command_group create_command_group 1-1 mapping
create_connview No equivalent command Not required
create_die_area set_attribute [current_block] boundary Closest match die_area is mapped to the boundary of the block
{{x1 y1} {x1 y2} … {xn yn} … {x1 y1}}
create_differential_group route_rdl_differential Similar but not exactly the None of the options are supported; use the improved feature instead
same
create_drc_error create_drc_error Similar but not exactly the -error_view is mapped to -error_data
same -type is mapped to -error_type
-info is mapped to -information
-rectangles and -polygons polygons are mapped to -polygons and -polylines
-net is not supported
-required is mapped to -required_spacing
-actual is mapped to -actual_spacing
-route_types is not supported
create_drc_error_type create_drc_error_type Similar but not exactly the -error_view is mapped to -error_data
same -class is mapped to -error_class
-info is mapped to -brief_info and verbose_info
-status is mapped to -severity
-level is not supported
create_edit_group create_edit_group Similar but not exactly the object_list argument is not supported; use add_to_edit_group to add object
same
create_floorplan initialize_floorplan Similar but not exactly the -core_height is mapped to -core_side_length
same -core_utilization is mapped to -row_core_ratio
-core_width is mapped to -core_offset
-keep_io_place is mapped to -keep_io_placement
-keep_macro_place is mapped to -keep_macro_placement
-keep_std_cell_place is mapped to keep_std_cell_placement
-pad_limit is mapped to -honor_pad_limit
create_fp_group_block_ring create_pg_ring_pattern Similar but not exactly the -horizontal_ring_layer is mapped to -horizontal_layer
same -horizontal_ring_spacing is mapped to -horizontal_spacing
-horizontal_ring_width is mapped to -horizontal_width
-horizontal_strap_layer is mapped to -horizontal_spacing
-horizontal_strap_width is mapped to -horizontal_width
-vertical_ring_layer is mapped to -vertical_layer
-vertical_ring_spacing is mapped to -vertical_spacing
-vertical_ring_width is mapped to -vertical_width
-vertical_strap_layer is mapped to -vertical_layer
-vertical_strap_width is mapped to -vertical_width
create_mw_cel create_block Similar but not exactly the -view is not supported; specify the view name with the block name by using the following format: block_name.view_name
same
create_mw_lib create_lib Similar but not exactly the -mw_reference_library is mapped to -ref_libs
same
The following options are not supported:
-bus_naming_style (not applicable), -reference_control_file (not applicable), -open (on-by-default)
create_net create_net 1-1 mapping
create_net_search_pattern No equivalent command No net pattern support in IC Compiler II
create_net_shape create_shape -net Similar but not exactly the -bbox and -boundary are mapped to -boundary
same -datatype is mapped to -layer layer:datatype
-mask_constraints is mapped to set_attribute with the mask_constraint attribute
-path_type is mapped to -start_endcap, -end_endcap and -start_extension, -end_extension
-points is mapped to -path
-route_type is mapped to -shape_use
-type is mapped to -shape_type (wire is not supported, use path instead)
create_scenario create_scenario Similar but not exactly the In IC Compiler, it creates a scenario. In IC Compiler II, it creates a scenario and specifies mode and corner associated with the scenario. The
same basic function is similar.
create_short_drc_error create_drc_error Similar but not exactly the see create_drc_error comments
same
create_signoff_setup No equivalent command Not applicable
create_site_row create_site_row Similar but not exactly the supported options are different:
same -coordinate is mapped to -origin
-kind is mapped to -site
-orient is mapped to -site_orientation
-dir is mapped to -orientation
-count is mapped to -site_count
-space is mapped to -x_margin
Note: the value of options could be different, check the man page for details.
create_spacing_drc_error create_drc_error Similar but not exactly the see create_drc_error comments
same
create_stack_via_on_pad_pin create_pg_vias Closest match None of the options are supported; use the improved feature instead
create_supply_net create_supply_net 1-1 mapping UPF command
create_supply_port create_supply_port 1-1 mapping UPF command
create_supply_set create_supply_set 1-1 mapping UPF command
create_terminal create_shape -port + create_terminal Closest match To create a terminal in IC Compiler II, you must create the shape for a port first, and then use
create_terminal on the shape.
-bbox and -boundary are mapped to -boundary of create_shape
-layer is mapped to -layer of create_shape
-port is mapped to -port of create_shape and create_terminal
-direction and -name: same name option on create_terminal
-mask_constraint is mapped to mask_constraint attribute of shape; you can use set_attribute to modify
create_text create_shape Similar but not exactly the -origin, -layer, and -height: named same
same -orient is mapped to -orientation
-anchor is mapped to -justification
-datatype: can be specified with layer in the layer:purpose format
string is mapped to -text
create_track create_track Similar but not exactly the -bounding_box is mapped to -bbox
same other options in IC Compiler are named same in IC Compiler II
create_user_shape create_shape Similar but not exactly the -type is mapped to -shape_type; wire is not supported. Use path.
same -origin and -length are not supported
-bbox and -boundary are mapped to -boundary
-points is mapped to -path
-width: same named option
-path_type is mapped to -start_endcap/-end_endcap and -start_extension/-end_extension
-layer and -net: same named options
-vertical: not needed
-route_type is mapped to -shape_use
-datatype: no such option; can be specified together with layer by -layer layer:datatype
-mask_constraints: use set_attribute with mask_constraint attribute
-avoid_short_segment is not supported
create_via create_via Similar but not exactly the -at is mapped to -origin
same -master is mapped to -via_def
-name, -auto, -allow_multiple are not supported
-no_net is not applicable
-route_type is mapped to -shape_use
-orient is mapped to -orientation
-type is not needed
-col and -row are mapped to -size {r c}
-x_pitch and -y_pitch are mapped to -pitch {horizontal vertical}
-lower, -upper, and -via_mask_constraint: use set_attribute
create_via_master create_via_def Similar but not exactly the -name is mapped to via_def_name argument
same -cut_layer_name is mapped to -cut_layer
-lower_layer_name and -upper_layer_name are not needed
-rectangles is mapped to -shapes
-cut_width and -cut_height are mapped to -cut_size {H V}
-lower_layer_enc* are mapped to -lower_enclosure {H V}
-upper_layer_enc* are mapped to -upper_enclosure {H V}
create_voltage_area create_voltage_area Similar but not exactly the -coordinate and -polygons are mapped to -region
same -power_domain is mapped to -power_domains
-guard_band_x and -guard_band_y are mapped to -guard_band
-color and -cycle_color are not supported
modules argument is mapped to -cells
Other options are named same
create_voltage_area_feedthroughs No equivalent command Not needed
create_zrt_shield create_shields 1-1 mapping
current_design current_design Closest match No option difference. In IC Compiler II, this command return the collection of current block
current_design_name get_attribute current_design name Similar but not exactly the Not applicable
same
current_instance current_instance 1-1 mapping
current_mw_cel current_block Similar but not exactly the The format of the design name can be different:
same IC Compiler: designName.viewName;version
IC Compiler II: [libName:]designName[/labelName][.viewName]
current_mw_lib current_lib Similar but not exactly the in IC Compiler, current_mw_lib can only query the current library
same In IC Compiler II, current_lib can query or set the current working library
current_scenario current_scenario 1-1 mapping
IC Compiler IC Compiler II Category Comments
cut_fp_preroutes_into_plan_groups push_down_objects Similar but not exactly the net_collection is mapped to object_collection
same
cut_objects reshape_objects No equivalent command Improved usage
cut_row No equivalent command Not supported
date date 1-1 mapping
decrypt_lib n/a No equivalent command Not applicable
define_antenna_accumulation_mode define_antenna_accumulation_mode 1-1 mapping
define_antenna_layer_rule define_antenna_layer_rule 1-1 mapping Added new -name option to specify the name of the rule to be created
define_antenna_rule define_antenna_rule 1-1 mapping Added new -name option to specify the name of the rule to be created
define_bus create_net_bus Similar but not exactly the -type is mapped to either create_port_bus or create_net_bus
create_port_bus same -name and -range are mapped to the net_bus_name or port_bus_name command argument
define_io_antenna_area set_attribute <port> antenna_area Similar but not exactly the Similar but not exactly the same
same
define_io_diode_protection set_attribute <port> diode_protection Similar but not exactly the Similar but not exactly the same
same
define_io_gate_size set_attribute <port> gate_area Similar but not exactly the Similar but not exactly the same
same
define_name_rules define_name_rules 1-1 mapping All options have the same names, except the ones that are not supported yet
define_proc_attributes define_proc_attributes 1-1 mapping
define_routing_rule create_routing_rule Closest match Removed -spacing_weight_level and -taper_level
define_scaling_lib_group No equivalent command Not required
define_user_attribute define_user_attribute -persistent 1-1 mapping -class mapped to -classes, while -class still works (with auto completion);
must use -persistent in IC Compiler II so the attribute is persistent
define_user_attribute define_user_attribute Similar but not exactly the
-type -range_min -range_max -one_of -type -range_min -range_max - same
<attr_name> one_of
-class <class_name> -name <attr_name>
-classes <class_list>
-persistent
define_via create_via_def Closest match -rect option mapped to -shapes.
define_voltage_area_routing_rule No equivalent command Will be supported in a future release
define_zrt_redundant_vias add_via_mapping Similar but not exactly the -from_* is mapped to -from {}
same -to_* is mapped to -to {}
-from_via_array_mode is mapped to -transform
-to_via_weights is mapped to -weight
delete_operating_conditions No equivalent command
derive_constraints No equivalent command Design Compiler and IC Compiler command for Design Compiler budgeting only; does not apply to IC Compiler II
derive_mpc_macro_options No equivalent command Not required, automatically created by tool
derive_mpc_options No equivalent command Not required
derive_mpc_port_options No equivalent command Not required
derive_pg_connection connect_pg_net Closest match -reconnect: default behavior in IC Compiler II
-create_nets, -tie, -all, and -create_ports: covered under -automatic
-power_net and -ground_net are mapped to -net
-power_pin and -ground_pin are mapped to port_pin_list
-cells: can be specified in port_pin_list
-resolve_conflict: performed by default
-preserve_physical_only_pg: not applicable
derive_placement_blockages derive_placement_blockages 1-1 mapping
derive_reserved_placement_area report_placement* Closest match None of the options are supported; use the improved feature instead
dict dict 1-1 mapping
disable_double_patterning_rules No equivalent command
disconnect_net disconnect_net Similar but not exactly the
same
display_flip_chip_route_flylines View->Flylines->RDL Flylines Similar but not exactly the None of the options are supported; use the improved feature instead
same
display_rdl_route_flylines View->Flylines->RDL Flylines Similar but not exactly the None of the options are supported; use the improved feature instead
same
distribute_objects spread_objects Similar but not exactly the -anchor object, supported
same -parent, supported
-from, supported
-to, supported
-side, not supported
-spread, not supported
-vertical, not supported
-offset, not supported
-wiretrack_offset, not supported
-resize, not supported
-keep_area, not supported
-ignore_fixed, not supported
objects, supported
drive_of No equivalent command An old Design Compiler command. No plan in IC Compiler II
echo echo 1-1 mapping
eco_netlist eco_netlist Closest match -physical is mapped to -compare_physical_only_cells
IC Compiler II has -write_summary, -extract_timing_eco_changes, and -compare_target_modules options
enable_double_patterning_rules No equivalent command Not applicable
enable_primetime_icc_consistency_settings No equivalent command Not applicable
extract_blockage_pin_via create_frame Similar but not exactly the -library_name is similar to open_lib command
same -cell_name is similar to open_block command
-generate_boundary is similar to theread_gds -layer_map command in icc2_lm_shell
-cell_types is similar to -block_all auto option
-preserve_all_metal_blockage is mapped to -preserve_metal_blockage
-routing_blockage_output_layer does not have similar mapping. IC Compiler II always outputs routing blockages (is_zero_blockage
true|false)
-treat_all_blockage_as_thin_wire is similar to -convert_metal_blockage_to_zero_spacing {{layer min_spacing}} option
-treat_metal_blockage_as_thin is similar to -convert_metal_blockage_to_zero_spacing {{layer min_spacing}} option
-extract_pin_connectivity_through is similar toread_gds -trace_option and trace_connectivity commands in icc2_lm_shell
-pin_must_connect_area_layer_number is mapped to -pin_must_connect_area_layers option
-auto_pin_must_connect_area_threshold is mapped to -pin_must_connect_area_thresholds
-skip_rotated_via_region does not have similar mapping
-extract_via_region_below_metal does not have similar mapping
-contact_selections is mapped to -include_nondefault_via
-carry_layer_datatype is not yet supported
-extract_via_region_for_pg does not have similar mapping
-keep_pin_must_block_out_area is similar to -preserve_metal_blockage option
-*_pin_text_layers is similar to thefile.gds.text_layer_map application option in icc2lm_shell
get_fp_wirelength route_global Closest match None of the options are supported; use the improved feature instead
get_generated_clocks get_generated_clocks Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
get_latch_loop_groups get_latch_loop_groups 1-1 mapping
get_layer_attribute get_attribute Similar but not exactly the -layer: use positional option of get_attribute to specify the layer
same
get_layers get_layers Similar but not exactly the -include_system: not applicable since no system layers in IC Compiler II
same
get_lib_attribute get_attribute 1-1 mapping
get_lib_cells get_lib_cells Similar but not exactly the -scenarios is not supported in IC Compiler II.
same
get_lib_pins get_lib_pins 1-1 mapping
get_libs get_libs Closest match -scenarios: not applicable; return both ref libs and design libs in memory
get_license get_licenses 1-1 mapping
get_location get_attribute Similar but not exactly the
same
IC Compiler IC Compiler II Category Comments
get_timing_paths get_timing_paths Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
get_tracks get_tracks 1-1 mapping
get_user_grid get_grids Closest match get_grids -type
In IC Compiler, returns offset and step information of the grid
In IC Compiler II, returns the grid collection
get_user_shapes get_shapes 1-1 mapping
get_utilization create_utilization_configuration Closest match objects is mapped to report_utilization -of_objects object;
report_utilization -row_based is mapped to create_utilization_configuration -capacity site_row;
-consider_blockage is mapped to create_utilization_configuration -exclude {hard_blockages soft_blockages};
-consider_macro_keepout is mapped to create_utilization_configuration -exclude {macro_keepouts}
-treat_macro_like_blockages is mapped to create_utilization_configuration -exclude {hard_macros ...}
-flat is not applicable
get_via_masters get_via_defs Similar but not exactly the -cut_layer, -upper_layer, -lower_layer: can be achieved by get_via_defs -filter cut_layer_names, or upper_layer_name, or
same lower_layer_name respectively
get_via_regions get_via_regions 1-1 mapping
get_vias get_vias Similar but not exactly the -shield_of: -of_object + -shield_only
same -include_shield: -of_object + -include_shield
get_voltage_area_shapes get_voltage_area_shapes 1-1 mapping
get_voltage_areas get_voltage_areas 1-1 mapping
get_working_design_stack get_working_design_stack Similar but not exactly the -instances is not supported
same
get_zero_interconnect_delay_mode get_app_option_value -name 1-1 mapping
time.delay_calculation_style
get_zrt_net_properties No equivalent command Not applicable
getenv getenv 1-1 mapping
gets gets 1-1 mapping
glob glob 1-1 mapping
global global 1-1 mapping
group group_cells Similar but not exactly the -except, -logic, -pla, -fsm, -hdl_*, and -soft are not supported
same -design_name is mapped to -module_name
Others are named the same
IC Compiler IC Compiler II Category Comments
insert_boundary_cell set_boundary_cell_rules Similar but not exactly the IC Compiler II separates insert_boundary_cell into two commands: set_boundary_cell_rules command to set the boundary rules and
compile_boundary_cells same compile_boundary_cells command to insert the cells
Supported options are different in set_boundary_cell_rules:
-rules respect_soft_blockage respect_hard_blockage respect_soft_macro_keepout even_tile_rows odd_tile_rows are not supported
-rules no_1x is mapped to -no_1x
-rules mirror_left_outside_corner_cell is mapped to -mirror_left_outside_corner
-rules mirror_right_outside_corner_cell is mapped to -mirror_right_outside_corner
-rules mirror_left_inside_corner_cell is mapped to -mirror_left_inside_corner
-rules mirror_right_inside_corner_cell is mapped to -mirror_right_inside_corner
-rules mirror_left_boundary_cell is mapped to -mirror_left_boundary_cell
-rules mirror_right_boundary_cell is mapped to -mirror_right_boundary_cell
-rules swap_top_bottom_inside_corner_cell is mapped to -do_not_swap_top_and_bottom_inside_corner_cell
-rules ignore_jag_edge is mapped to -min_horizontal_jog
insert_tap_cells_by_rules create_tap_cells Similar but not exactly the Supported options are different:
same -tap_cell_insertion is supported by default
-tap_distance_based is supported by default
-move is supported by default
-freeze is not supported
-tap_master is mapped to -lib_cell
-tap_distance_limit is mapped to -distance
-drc_spacing_check
-drc_spacing_based -tap_layer -tap_spacing_design_rule -no_tap_cells_under_metal_layer -n_well_layer -p_well_layer -contact_layer -
p_diffusion_layer -n_diffusion_layer -p_implant_layer -n_implant_layer is not supported
-tap_filler_name_identifier is not supported
-ignore_hard_blockage is not supported
-ignore_soft_blockage is supported by default
-respect_keepout is supported by default; if user wanted to disable, set application option
place.legalize.ignore_keepout_margins_against_filler to true
-ignore_double_back_sharing is not supported
-connect_to_power_net -connect_to_ground_net is supported by running connect_pg_nets after insertion
-voltage_area same option supported
insert_well_filler No equivalent command Not required
insert_zrt_diodes create_diodes 1-1 mapping
insert_zrt_redundant_vias add_redundant_vias 1-1 mapping
interp interp 1-1 mapping
is_double_patterning_enabled No equivalent command
is_false is_false 1-1 mapping
is_true is_true 1-1 mapping
is_zrt_routed_design n/a No equivalent command Not applicable
join join 1-1 mapping
lappend lappend 1-1 mapping
lassign lassign 1-1 mapping
IC Compiler IC Compiler II Category Comments
legalize_fp_placement legalize_placement Similar but not exactly the Improved usage in IC Compiler II with the -post_route option
same
legalize_placement legalize_placement 1-1 mapping
legalize_rp_placement legalize_rp_groups Similar but not exactly the Additional option
same
lib2saif No equivalent command
license_users No equivalent command Not applicable
lindex lindex 1-1 mapping Not applicable
link link_block Similar but not exactly the Not applicable
same
link_physical_library No equivalent command Not supported
linsert linsert 1-1 mapping
list list 1-1 mapping
list_attributes list_attributes 1-1 mapping
list_dont_touch_types No equivalent command Not applicable
list_drc_error_types get_drc_error_types Closest match list_drc_error_types command is not supported
get_drc_error_types is supported
list_files No equivalent command Not applicable
list_floorplan_data list_attributes Similar but not exactly the None of the options are supported; use the improved feature instead
same
list_instances No equivalent command Not supported
list_libs No equivalent command Not supported
list_licenses list_licenses 1-1 mapping
list_mw_cels list_blocks Similar but not exactly the -all_views, -all_versions, and -sort are not supported
same
list_partition_data list_attributes Similar but not exactly the None of the options are supported; use the improved feature instead
same
llength llength 1-1 mapping
lminus lminus 1-1 mapping
load No equivalent command Not applicable
load_fp_rail_map set_constraint_mapping_file Similar but not exactly the None of the options are supported; use the improved feature instead
same
load_of get_attribute lib_pin pin_capacitance Similar but not exactly the Not applicable
same
load_upf load_upf 1-1 mapping IC Compiler II is missing options -simulation_only , -strict_check, and -supplemental, but they are not needed.
lrange lrange 1-1 mapping
lrepeat lrepeat 1-1 mapping
lreplace lreplace 1-1 mapping
lreverse lreverse 1-1 mapping
ls ls 1-1 mapping
lsearch lsearch 1-1 mapping
lset lset 1-1 mapping
lsort lsort 1-1 mapping
magnet_placement magnet_placement Closest match Missing the following options:
-hierarchy_mode
-avoid_soft_blockage
-exclude_buffers
-exclude_cells
-stop_points
-align
man man 1-1 mapping
map_freeze_silicon No equivalent command Not applicable
map_isolation_cell map_isolation_cell 1-1 mapping UPF command
map_level_shifter_cell map_level_shifter_cell 1-1 mapping UPF command
map_power_switch map_power_switch 1-1 mapping UPF command
map_retention_cell map_retention_cell 1-1 mapping UPF command
map_unit_tiles No equivalent command Not applicable
mark_clock_tree mark_clock_trees Similar but not exactly the Does not support -routing_rule, -use_default_routing_for_sinks, -layer_list, -routing_rule_for_sinks, -use_leaf_routing_rule_for_sinks, -
same layer_list_for_sinks, -seq_freeze, -fix_sinks, -clock_nets, -ideal_net.
propagate_clock_constraints - promote_clock_data - 1-1 mapping In addition to the clock mesh annotations, the IC Compiler II command also allows promotion of CTS balance points
clock_mesh_annotation mesh_annotations
propagate_pin_mask_constraint propagate_pin_mask_constraint 1-1 mapping Improved usage
propagate_pin_mask_to_via_metal No equivalent command Not required
propagate_switching_activity propagate_switching_activity Similar but not exactly the There are command UI differences between IC Compiler and IC Compiler II
same
push_down_fp_objects push_down_objects Similar but not exactly the Simplified usage model, -cells and object_collection option supported
same
push_flip_chip_route push_rdl_routes Similar but not exactly the The following option is not supported:
same -terminal_names
push_rdl_route push_rdl_routes Similar but not exactly the The following option is not supported:
same -terminal_names
push_up_fp_objects pop_up_objects Similar but not exactly the Simplified usage model, -cells, -blocks and object_collection supported
same
puts puts 1-1 mapping
pwd pwd 1-1 mapping
query_cell_instances To be implemented - No This is a UPF query command and is not supported.
target yet Use get_cells -filter ref_block==$ref_name
query_cell_mapped To be implemented - No This is a UPF query command and is not supported.
target yet Use get_attributes $cell ref_name
query_map_power_switch To be implemented - No This is a UPF query command and is not supported
target yet Use save_upf and look for map_power_switch statements
query_net_ports To be implemented - No This is a UPF query command and is not supported
target yet
query_objects query_objects 1-1 mapping
query_on_demand_netlist No equivalent command Not required
query_port_net To be implemented - No This is a UPF query command and is not supported
target yet
query_port_state To be implemented - No This is a UPF query command and is not supported
target yet Use save_upf and look for add_port_state commands
query_power_switch To be implemented - No This is a UPF query command and is not supported
target yet Use save_upf and look for create_power_switch statements
query_pst To be implemented - No This is a UPF query command and is not supported
target yet Use save_upf and look for create_pst commands
query_pst_state To be implemented - No This is a UPF query command and is not supported
target yet Use save_upf and look for add_pst_state commands
query_qor_snapshot No equivalent command Not applicable
quit quit 1-1 mapping
quit! quit! 1-1 mapping
read read 1-1 mapping
read_aif read_aif 1-1 mapping
read_antenna_violation No equivalent command Not applicable
read_aocvm read_ocvm Similar but not exactly the ocvm_files option matches between IC Compiler and IC Compiler II, but IC Compiler has -min , -max option which is not supported in IC
same Compiler II and IC Compiler II has -corner option which is not in IC Compiler.
read_ddc No equivalent command Not supported
read_def read_def Similar but not exactly the -enforce_scaling is not supported
same -check_only is mapped to -syntax_only
-turn_via_to_inst and -inexactly_matched_via_to_inst: not applicable
-lef: use read_tech_lef
-snet_no_shape_as_user_enter and -snet_no_shape_as_detail_route: not applicable
-preserve_wire_ends is not supported
read_drc_error_file open_drc_error_data Closest match read_drc_error_file , command not supported,
open_drc_error_data, supported
read_file No equivalent command Not supported
read_floorplan source write_floorplan Closest match None of the options are supported; use the improved feature instead
read_lib n/a No equivalent command Not applicable
read_parasitics read_parasitics 1-1 mapping
read_partition No equivalent command Not required
read_pin_pad_physical_constraints set_signal_io_constraints Similar but not exactly the None of the options are supported; use the improved feature instead
same
read_power_plan_regions No equivalent command Not required
read_rail_maps No equivalent command
read_saif read_saif Similar but not exactly the There are command UI differences between IC Compiler and IC Compiler II
same PrimeTime PX consistency
read_sdc read_sdc 1-1 mapping
read_sdf To be implemented - No
target yet
read_signal_em_constraints read_signal_em_constraints Closest match In IC Compiler II, -tluplus is been replaced -itf_em so -tluplus is no longer needed.
read_stream read_gds Similar but not exactly the Check man page for details
read_oasis same Note: commands are supported only in icc2_lm_shell
read_tdf_ports No equivalent command Not required
IC Compiler IC Compiler II Category Comments
read_verilog read_verilog Similar but not exactly the -dirty_netlist and -allow_black_box are not supported; use the design mismatch manager instead
same -verbose and -bus_direction_for_undefined_cell are not supported
-keep_module is not supported
-cell is mapped to -design
-top: named same in IC Compiler II
rebuild_mw_lib No equivalent command Not applicable
recompute_fit_bbox No equivalent command Not supported
recover_tie_connection No equivalent command Not supported
redirect redirect 1-1 mapping
redo redo Similar but not exactly the -mark is mapped to -marker
same
reduce_fp_rail_stacked_via No equivalent command Not required
refine_fp_macro_channels No equivalent command Not required
refine_placement refine_placement 1-1 mapping
regexp regexp 1-1 mapping
regsub regsub 1-1 mapping
remove_all_spacing_rules remove_placement_spacing_rules Closest match
remove_annotated_check To be implemented - No
target yet
remove_annotated_delay remove_annotated_delay Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
remove_annotated_transition remove_annotated_transition Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
remove_annotations To be implemented - No
target yet
remove_antenna_rules remove_antenna_rules 1-1 mapping Added new -name option to specify the name of the rule
remove_aocvm remove_ocvm Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
remove_attachment_file remove_attachments Similar but not exactly the -check_only is not supported
same -all and -design do not apply due to different usage model; in IC Compiler II, you must specify the attachment file name
remove_attribute remove_attributes Similar but not exactly the -class is not supported
same
remove_banking_guidance_strategy No equivalent command No longer needed
remove_base_arrays No equivalent command Not supported
remove_bounds remove_bounds Similar but not exactly the -name is not supported. Users can specify bound without this option
same
remove_buffer remove_buffers Similar but not exactly the -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option
same -to and -level are not supported as of K-2015.06
remove_buffer_tree remove_buffer_trees Similar but not exactly the
same
remove_bus remove_net_buses Similar but not exactly the Use remove_net_bus for net bus and remove_port_bus for port bus
remove_port_buses same
remove_case_analysis remove_case_analysis Similar but not exactly the -all is not supported
same
remove_cell remove_cells Similar but not exactly the -freeze_silicon is mapped to the design.eco_freeze_silicon_mode application option
same
remove_cell_degradation No equivalent command
remove_cell_sites No equivalent command Not supported
remove_cell_vt_type set_attribute to remove the attribute Similar but not exactly the
same
remove_checkpoint_designs No equivalent command Not supported
remove_clock remove_clocks 1-1 mapping
remove_clock_cell_spacing remove_clock_cell_spacings Similar but not exactly the Does not support -clocks and -all options
same
remove_clock_gates No equivalent command Not required
remove_clock_gating_check remove_clock_gating_check Similar but not exactly the IC Compiler II command align with PrimeTime
same
remove_clock_groups remove_clock_groups Similar but not exactly the IC Compiler II command align with PrimeTime
same
remove_clock_latency remove_clock_latency Similar but not exactly the Options not matching between IC Compiler and IC Compiler II . (IC Compiler has -rise, -fall, -min, -max, -late, and -early options which are
same missing in IC Compiler II)(IC Compiler II has -corner , -mode ,-scenario)
remove_clock_mesh create_clock_straps Similar but not exactly the Simplified usage model
same create_clock_straps -clear
remove_clock_sense remove_clock_sense 1-1 mapping
remove_clock_transition remove_clock_transition Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
remove_clock_tree remove_clock_trees Similar but not exactly the Does not support -honor_seq_freeze and -high_fanout_net
same -honor_dont_touch is the default behavior
remove_clock_tree_exceptions remove_clock_balance_points Similar but not exactly the Improved usage
set_dont_touch same
set_size_only
set_dont_touch_network
remove_clock_tree_options remove_clock_tree_options Similar but not exactly the More options available for improved usage
same
remove_clock_uncertainty remove_clock_uncertainty Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
remove_congestion_options No equivalent command
remove_cts_scenario No equivalent command Not required
remove_dangling_wires No equivalent command Not applicable
remove_data_check remove_data_check Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
remove_design remove_modules Closest match Different usage; to remove all the designs and libraries, use close_lib -force; to remove specific design, use remove_modules
close_lib
remove_die_area set_attribute current_block boundary Closest match Different usage
{}
remove_diode remove_cells Similar but not exactly the nets, -all_clock_nets and dangling_wires options are removed in IC Compiler II
same
remove_disable_clock_gating_check remove_disable_clock_gating_check 1-1 mapping
remove_disable_timing remove_disable_timing Similar but not exactly the -all_loop_breaking is mapped to -loop_break
same
remove_distributed_hosts No equivalent command Simplified usage model
remove_distributed_route n/a No equivalent command Not applicable
remove_dont_touch_placement set_attribute to remove the attribute Similar but not exactly the
same
remove_drc_error remove_drc_errors 1-1 mapping
remove_driving_cell remove_driving_cell Similar but not exactly the Options not matching (IC Compiler II has -corner , -mode ,-scenario, -clock ,-clock_fall, -min, -max,-rise, -fall), IC Compiler II has better
same alignment with PrimeTime
remove_edit_groups remove_edit_groups Similar but not exactly the -quiet is not supported in IC Compiler II
same
remove_fanout_load No equivalent command
remove_fast_pba_analysis No equivalent command Target for future release
remove_filler_with_violation remove_stdcell_fillers_with_violation 1-1 mapping IC Compiler II has two new options:
-boundary, -check_between_fixed_objects
remove_flip_chip_route remove_routes -rdl Similar but not exactly the Simplified usage model, -rdl option
same
remove_floating_pg No equivalent command Not required
remove_fp_block_shielding remove_keepout_margins Similar but not exactly the Not required
same
remove_fp_feedthroughs remove_feedthroughs Similar but not exactly the -blocks is mapped to -cells
same -include is mapped to -include_original_feedthroughs
remove_fp_pin_constraints remove_block_pin_constraints Similar but not exactly the None of the options are supported; use the improved feature instead
same
remove_fp_pin_overlaps No equivalent command Not required
remove_fp_plan_group_padding No equivalent command Not required
remove_fp_rail_stacked_via remove_via_mappings Closest match None of the options are supported; use the improved feature instead
remove_fp_rail_voltage_area_constraints No equivalent command Not required
remove_rp_groups remove_rp_groups Similar but not exactly the -hierarchy is mapped to -hierarchical; other options remain the same
same
remove_scaling_lib_group No equivalent command Not required
remove_scan_def remove_scan_def 1-1 mapping
remove_scenario remove_scenarios Similar but not exactly the IC Compiler II allows you to specify a list of scenarios instead of one scenario only in IC Compiler
same
remove_sdc No equivalent command
remove_self_gating_logic No equivalent command Not required
remove_site_row remove_site_rows 1-1 mapping
remove_skew_group remove_clock_skew_groups 1-1 mapping
remove_stdcell_filler remove_cells Closest match Not required
remove_steady_state_resistance To be implemented - No Not implemented yet
target yet
remove_supply_net reset_upf Closest match Need to update UPF and reset and reload UPF
remove_supply_port reset_upf Closest match Need to update UPF and reset and reload UPF
remove_target_library_subset remove_target_library_subset Similar but not exactly the -object_list is mapped to -objects
same
remove_terminal remove_terminals 1-1 mapping
remove_text remove_shapes Similar but not exactly the -all is not supported. Use text collection to remove
same
remove_tie_cells remove_cells Closest match Not required
remove_track remove_tracks 1-1 mapping
remove_unconnected_ports No equivalent command Not supported
remove_user_budgeted_delays No equivalent command Not required
remove_user_shape remove_shapes 1-1 mapping
remove_via remove_vias 1-1 mapping
remove_via_master No equivalent command Not implemented yet
remove_via_region remove_via_regions No equivalent command
remove_voltage_area remove_voltage_areas 1-1 mapping
remove_voltage_area_routing_rule No equivalent command Will be supported in a future release
remove_vt_filler_rule No equivalent command Not required
remove_well_filler No equivalent command Not required
remove_xtalk_prop To be implemented - No Not implemented yet
target yet
remove_zrt_filler_with_violation remove_stdcell_fillers_with_violation Similar but not exactly the Supported options are different:
same -name -check_only are mapped to the same option
-check_between_fixed_objects -boundary are new
report_cell report_cells Similar but not exactly the -physical and -only_physical are not supported
same
report_cell_em report_cell_em Closest match In IC Compiler II, -scenarios is not supported yet.
In IC Compiler II, -significant_digits and -nosplit are new options.
report_cell_mode report_cell_modes Closest match IC Compiler II has additional options. Reporting of cell modes is modal in IC Compiler II; they are scenario-based in IC Compiler.
report_keepout_margin report_keepout_margins Similar but not exactly the In IC Compiler, object are cells or library cells that have keepout margins
same For example, report_keepout_margin MY_CELL
In IC Compiler II, objects are keepout margins
For example, report_keepout_margin [get_keepout_margins -of MY_CELL]
report_latch_loop_groups Target for future release 1-1 mapping
report_latency_adjustment_options To be implemented - No
target yet
report_left_right_filler_rule No equivalent command Not required
report_level_shifter report_power_domains Closest match report_power_domains includes level-shifter strategy information
-domain is mapped to the power_domains argument
-verbose, -nosplit : No equivalent, but everything should be reported
report_lib report_lib Closest match Lots of options come from Library Compiler, which are not applicable to IC Compiler II
report_lib -power To be implemented - No
target yet
report_link_library_subset No equivalent command Not applicable
report_matching_type report_matching_types Similar but not exactly the The command in IC Compiler accepts pin or port list while in IC Compiler II, the command accepts the matching type list
same
report_metal_density No equivalent command Not applicable
report_milkyway_version get_attribute [current_lib] Closest match Not applicable
read_from_schema_version
report_mim report_mibs Similar but not exactly the Improved usage; no options for command
same
report_min_pulse_width No equivalent command Target for future release
report_mode report_cell_modes Similar but not exactly the
same
report_mpc_macro_array No equivalent command Not required
report_mpc_macro_options No equivalent command Not required
report_mpc_options No equivalent command Not required
report_mpc_pnet_options No equivalent command Not required
report_mpc_port_options No equivalent command Not required
report_mpc_rectilinear_outline No equivalent command Not required
report_mpc_ring_options No equivalent command Not required
report_mtcmos_pna_strategy set_pg_strategy Closest match None of the options are supported; use the improved feature instead
report_multisource_options report_multisource_clock_sink_groups Similar but not exactly the Simplified usage model
same
report_mw_lib report_ref_libs Closest match -unit_range is not supported
-mw_reference_library: use report_ref_libs
mw_lib argument is mapped to -library option of report_ref_libs
report_name_rules report_name_rules 1-1 mapping
report_names report_names Similar but not exactly the -original, -nosplit, and -dont_touch are not supported
same
report_net report_nets Similar but not exactly the Most of the options have no equivalent in IC Compiler II
same
report_net_changes No equivalent command Not applicable
report_net_delta_delay To be implemented - No Not implemented yet
target yet
report_net_fanout report_net_fanout Similar but not exactly the -bound is mapped to -upper_bound
same -min is not supported
report_net_physical report_nets -physical Closest match Not applicable
report_net_routing_corridor get_routing_corridors Similar but not exactly the See man page
same
report_net_routing_layer_constraints report_routing_rules Similar but not exactly the See man page
same
report_net_routing_rules report_routing_rules Similar but not exactly the See man page
same
report_net_search_pattern No equivalent command No net pattern support in IC Compiler II
report_net_search_pattern_delay_estimation_ No equivalent command No net pattern support in IC Compiler II
options
report_net_search_pattern_priority No equivalent command No net pattern support in IC Compiler II
report_noise To be implemented - No Not implemented yet
target yet
report_noise_calculation To be implemented - No Not implemented yet
target yet
report_ocvm report_ocvm Similar but not exactly the Infastructure difference due to options such as -mode or -corner. 'arc_details' in IC Compiler can be replaced by 'get_timing_arc' in IC
same Compiler II
report_on_demand_netlist No equivalent command Not required
report_opcond_inference No equivalent command Closest match is report_pvt, but opconds not really used in IC Compiler II
report_operating_conditions report_lib Closest match
report_optimization_created_cells No equivalent command
report_optimize_dft_options No equivalent command
report_optimize_pre_cts_power_options No equivalent command Not required
report_qor report_qor Similar but not exactly the IC Compiler II command missing option -physical
same
report_qor_snapshot No equivalent command Not applicable
report_qtm_model write_blackbox_timing_script Closest match None of the options are supported; use the improved feature instead
report_rail_integrity_layout_check_strategy No equivalent command
reset_inter_clock_delay_options remove_clock_balance_groups Similar but not exactly the Various options in the IC Compiler command are not required in IC Compiler II
same
reset_latency_adjustment_options To be implemented - No
target yet
reset_mode reset_cell_mode 1-1 mapping
reset_path reset_paths 1-1 mapping
reset_reference_cell_routing_rule No equivalent command Feature not yet supported
reset_split_clock_gates_options No equivalent command Not required
reset_switching_activity reset_switching_activity Similar but not exactly the There are command UI differences between IC Compiler and IC Compiler II
same PrimeTime PX consistency
reset_timing_derate reset_timing_derate Similar but not exactly the Infastructure difference due to options such as -mode or -corner. IC Compiler II command options match with PrimeTime
same
reset_upf reset_upf 1-1 mapping
resize_objects reshape_objects Similar but not exactly the Improved usage
same
resize_polygon resize_polygons Similar but not exactly the Improved usage
same
restore_design_settings No equivalent command Not supported
restore_spg_placement No equivalent command
return return 1-1 mapping
revert_cell_sizing revert_cell_sizing 1-1 mapping
rotate_objects rotate_objects Similar but not exactly the In IC Compiler II additional options -snap grid, -by rotate is mapped to -angle, -force added
same
Example:
Usage: rotate_objects # Rotate objects
-anchor anchor_point (Anchor point:
Values: ll, ur, center)
-pivot point (Pivot point)
-snap grid (Grid to snap the object to)
-orient orient (Orientation value to be set on the objects:
Values: N, W, S, E, FS, FW, FN, FE)
-angle angle (Rotation angle in degrees:
Values: 90, 180, 270)
-force (To ignore locked status of the objects)
objects (Objects to rotate)
For example,
IC Compiler:
select_block_scenario -block_references blk -scenarios top_scen -block_scenario blk_scen
IC Compiler II:
set_block_to_top_map -block blk_inst -corner {block_corner top_corner} -mode {block_mode top_mode}
# blk_inst is the instantiation of blk
# block_corner, block_mode correspond to blk_scen
# top_corner, top_mode correspond to top_scen
select_mim_master_instance No equivalent command Not required
send_flow_status send_status Closest match -job_name is not supported
-stage_name is mapped to -status
-status is not supported
-host is not supported
-port is not supported
-eof is not supported
-verbose is not supported
set set 1-1 mapping
IC Compiler IC Compiler II Category Comments
set_active_scenarios set_scenario_status Closest match The IC Compiler set_active_scenarios command specifies a list of scenarios to be active.
Usage: set_active_scenarios # specifies set of active scenarios
-all (set all scenarios active)
{ scenario_name1 scenario_name2 ... }
(specify set of active scenarios)
The IC Compiler II set_scenario_status command configures a list of scenarios and enables or disables the scenario for setup, hold, DRC,
and so on
Usage: set_scenario_status # Setup scenario for setup, hold, etc. analysis
-setup setup_flag (Control setup analysis)
-hold hold_flag (Control hold analysis)
-power power_flag (Control power analysis)
-max_transition max_transition_flag
(Control max_transition DRC checking)
-max_capacitance max_capacitance_flag
(Control max_capacitance DRC checking)
-min_capacitance min_capacitance_flag
(Control min_capacitance DRC checking)
-active active_flag (Allow specified analysis types to happen)
-all (Turn on all analysis types)
-none (Turn off all analysis types)
scenario_list (List of scenarios)
set_ahfs_options set_app_options Closest match IC Compiler II has two application options related to high-fanout synthesis:
place_opt.initial_drc.global_route_based 0|1
place_opt.initial_drc.global_route_based_multi_threaded trueIfalse
set_clock_uncertainty set_clock_uncertainty Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
set_combinational_type No equivalent command Not applicable
set_command_option_value No equivalent command Not applicable
IC Compiler IC Compiler II Category Comments
Example:
set_isolate_ports qout #Specify that isolation is needed for qout
set_isolate_ports qout false #Specify that isolation is not needed for qout
set_max_transition set_max_transition Similar but not exactly the Infastructure difference due to options such as -mode or -corner, additional '-db' option in IC Compiler II
same
set_mcmm_job_options No equivalent command Not required
set_message_info set_message_info 1-1 mapping
set_min_capacitance set_min_capacitance Similar but not exactly the Infastructure difference due to options such as -mode or -corner, additional '-db' option in IC Compiler II
same
set_min_delay set_min_delay 1-1 mapping
set_min_library No equivalent command Not required
set_min_pulse_width No equivalent command Target for future release
set_minimum_budgeted_delay set_latency_budget_constraints; Similar but not exactly the None of the options are supported; use the improved feature instead
compute_budget_constraints same
set_mode set_cell_mode 1-1 mapping
set_mpc_macro_array No equivalent command Not required
set_mpc_macro_options No equivalent command Not required
set_mpc_options No equivalent command Not required
set_mpc_pnet_options No equivalent command Not required
set_mpc_port_options No equivalent command Not required
set_mpc_rectilinear_outline No equivalent command Not required
set_mpc_ring_options No equivalent command Not required
set_mtcmos_pna_strategy No equivalent command Not required
set_multi_vth_constraint set_max_lvth_percentage Similar but not exactly the In IC Compiler II can set percentage only
same
set_multi_vth_constraint -reset remove_max_lvth_percentages
set_multicycle_path set_multicycle_path 1-1 mapping
set_multisource_options create_multisource_clock_sink_group Similar but not exactly the Simplified usage model
same Commands available for incremental changes and debug (*multisource_clock_sink_group*)
set_mw_lib_reference set_ref_libs Similar but not exactly the -reference_control_file is not supported in IC Compiler II
same -mw_reference_library is similar to -ref_libs in IC Compiler II
libName required in IC Compiler, but optional in IC Compiler II with -library option
set_mw_technology_file read_tech_file Closest match -technology: not required; you can specify the technology file directly
-alf is not supported by read_tech_file
libName is not needed; read_tech_file applies to the current library
set_name set_attribute Similar but not exactly the You can change the name attribute directly in IC Compiler II
same
set_net_aggressors To be implemented - No Not implemented yet
target yet
set_net_routing_corridor add_to_routing_corridor Similar but not exactly the See man page
same
set_net_routing_layer_constraints set_routing_rule Similar but not exactly the -min_layer_name is mapped to -min_routing_layer
same -max_layer_name is mapped to -max_routing_layer
set_net_routing_rule set_routing_rule Similar but not exactly the -rule is mapped to either -rule or -default_rule
set_attribute net physical_status same -reroute is mapped to set_attribute net physical_status locked|minor_change|unrestricted
-timing_driven_spacing is not supported
-top_layer_probe has no equivalence
set_net_search_pattern_delay_estimation_opt No equivalent command Use set_routing_rule on nets instead
ions
set_net_search_pattern_priority No equivalent command Not required
set_noise_immunity_curve To be implemented - No Not implemented yet
target yet
set_noise_lib_pin To be implemented - No Not implemented yet
target yet
set_noise_margin To be implemented - No Not implemented yet
target yet
set_object_boundary set_boundary Closest match -bbox and -boundary are mapped to -boundary
-keep_* and -ignore_fixed are not supported
set_object_fixed_edit set_fixed_objects Similar but not exactly the Improved usage
same
set_object_shape set_object_shape Similar but not exactly the Improved usage
same
set_object_snap_type set_snap_setting Similar but not exactly the set_object_snap_type is not supported
same set_snap_setting is supported
set_opcond_inference No equivalent command Not required
set_operating_conditions set_operating_conditions 1-1 mapping
set_opposite To be implemented - No Not requested yet
target yet
set_optimization_strategy set_app_options Similar but not exactly the
same
set_optimize_dft_options set_app_options Similar but not exactly the
same
set_optimize_pre_cts_power_options No equivalent command Not required
set_output_clock_port_type No equivalent command Not required
set_output_delay set_output_delay Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
set_pad_physical_constraints set_signal_io_constraints Similar but not exactly the None of the options are supported; use the improved feature instead
same
set_parameter n/a No equivalent command Not applicable
set_partial_on_translation set_partial_on_translation 1-1 mapping UPF command
set_partition_data No equivalent command Not required
set_path_margin set_path_margin Similar but not exactly the Infastructure difference due to options such as -mode or -corner
same
set_pg_pin_model No equivalent command Must edit in IC Compiler II Library Manager
set_physical_signoff_options set_app_options Closest match set_app_options -name signoff.create_metal_fill.apply_nondefault_rules -value false
set_power_net_to_voltage_area No equivalent command Available power nets implied by power domains of that voltage area
set_power_plan_strategy set_pg_strategy Similar but not exactly the -power_plan_regions is mapped to -pg_regions
same -template is not supported
set_power_ring_strategy set_pg_strategy Closest match None of the options are supported; use the improved feature instead
set_power_switch_cell map_power_switch Closest match UPF command
library cell name is mapped to -lib_cells
-is_macro is not needed (determined from the reference library)
-switch and -pg_pin are not needed
set_power_switch_place_pattern_strategy set_power_switch_placement_pattern Similar but not exactly the -flip and -connect_power_switch are not supported
same -connect_power_switch is not needed; the intra-pattern control net connection is always done during power-switch insertion
IC Compiler IC Compiler II Category Comments
update_routing_corridor create_routing_corridor_shape Similar but not exactly the -mode add is mapped to create_routing_corridor_shape
remove_routing_corridor_shapes same -mode remove is mapped to remove_routing_corridor_shapes
-mode update: use set_attribute
-corridor is mapped to -routing_corridor of create_routing_corridor_shape
-bbox and -rectangles are mapped to -boundary
update_timing update_timing 1-1 mapping
update_voltage_area set_voltage_area Similar but not exactly the Different usage (see the multivoltage documentation for details)
same
upf_version upf_version 1-1 mapping
uplevel uplevel 1-1 mapping
upvar upvar 1-1 mapping
variable variable 1-1 mapping
verify_lvs check_lvs 1-1 mapping
verify_pg_nets verify_pg_nets Similar but not exactly the -macro_pin_connection is mapped to -check_macro_pins
same -pad_pin_connection is mapped to -check_pad_pins
-std_cell_pin_connection is mapped to -check_std_cell_pins
write_verilog write_verilog Similar but not exactly the -pg, -no_*, -empty_module, -wire_declaration, -supply_statement, etc are mapped to -include and -exclude in IC Compiler II
same -force_output_references and -force_no_output_references are mapped to -force_reference and -force_no_reference
-keep_backslash_before_hiersep is not applicable
-diode_port is not required (default behavior in IC Compiler II)
-no_unconnected_cells, -unconnected_ports, -output_net_name_for_tie, and -verbose are not supported
-macro_definition is mapped to -hierarchy all
-top_only is mapped to -hierarchy top
write_via_region report_via_regions Similar but not exactly the Equivalent function but different option names
same IC Compiler II report_via_regions is design-based; by default, all via region information is exported
replace_fillers_by_rules Similar but not exactly the
same