Decoder 11
Decoder 11
Experiment - 10
3 to 8 DECODER
AIM:
To design, simulate and synthesize 3*8 decoder. Develop a testbench for functional
verification of the design.
TOOLS USED:
1. Cadence tool
2. Incisive Simulator
3. Genus Synthesizer
THEORY:
A 3*8 decoder is a digital combinational circuit that takes 3 input signals and decodes them
into 8 unique output signals. Essentially, it converts binary-coded inputs into one of the
eight outputs, each of which corresponds to a unique binary combination of the inputs. The
circuit has 3 input lines and 8 output lines, which is why it is referred to as a "3-to-8"
decoder.
The 3 input lines are often denoted as, and, where is the most significant bit (MSB) and is
the least significant bit (LSB). The 8 output lines are labelled through.For each unique
combination of inputs, only one of the eight outputs is activated (logic ‘1’ or high), while
the others remain deactivated (logic ‘0’ or low).
Reg No:238W5A0411
SIMULATION RESULT:
SCHEMATIC DIAGRAM:
Reg No:238W5A0411
PROCEDURE:
POWER REPORT:
Reg No:238W5A0411
17. In the .sdc file make sure no of inputs and no of outputs and their name are same as
that of the module that you like to synthesis.
18. Give the command genus and enter.
19. Give the command source genus.tcl.
20. Obtain schematic diagram, netlist, area report, power and time report.
PROGRAM:
module decod(w,y,e);
input [2:0]w;
output [7:0]y;
input e;
reg [7:0]y;
always@(w,e)
begin
if(e==1’b1)
case(w)
3’b000: y=8’b00000001;
3’b001: y=8’b00000010;
3’b010: y=8’b00000100;
3’b011: y=8’b00001000;
3’b100: y=8’b00010000;
3’b101: y=8’b00100000;
3’b110:y=8’b01000000;
3’b111:y=8’b10000000;
endcase
else
y=8’b00000000;
end
endmodule
Reg No:238W5A0411
TIMING REPORT:
NETLIST:
Reg No:238W5A0411
TEST BENCH:
module tb_decod;
reg [2:0] w;
reg e;
reg [7:0] y;
wire [7:0] y;
initial begin
end
endmodule
RESULT:
The 3*8 decoder is designed using Verilog HDL. Simulation and synthesis
performed using cadence tool. Functional verification of the design is performed using a
verilog HDL testbench.
Reg No:238W5A0411