Open navigation menu
Close suggestions
Search
Search
en
Change Language
Upload
Sign in
Sign in
Download free for days
0 ratings
0% found this document useful (0 votes)
6 views
2 pages
Fir Comb Logic
Banana jsjjskssk jajsjsksjssnbs hsjs sjjsjs
Uploaded by
YOGESH GOWDA V
AI-enhanced title
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content,
claim it here
.
Available Formats
Download as TXT, PDF, TXT or read online on Scribd
Download now
Download
Save fir_comb_logic For Later
Download
Save
Save fir_comb_logic For Later
0%
0% found this document useful, undefined
0%
, undefined
Embed
Share
Print
Report
0 ratings
0% found this document useful (0 votes)
6 views
2 pages
Fir Comb Logic
Banana jsjjskssk jajsjsksjssnbs hsjs sjjsjs
Uploaded by
YOGESH GOWDA V
AI-enhanced title
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content,
claim it here
.
Available Formats
Download as TXT, PDF, TXT or read online on Scribd
Download now
Download
Save fir_comb_logic For Later
Carousel Previous
Carousel Next
Download
Save
Save fir_comb_logic For Later
0%
0% found this document useful, undefined
0%
, undefined
Embed
Share
Print
Report
Download now
Download
You are on page 1
/ 2
Search
Fullscreen
module fir_filter (
input wire clk,
input wire reset,
input wire [7:0] x,
output reg [15:0] y
);
reg [7:0] h0, h1, h2, h3;
reg [7:0] x_reg0, x_reg1, x_reg2, x_reg3;
wire [15:0] mul0, mul1, mul2, mul3;
wire [15:0] sum1, sum2;
karatsuba_multiplier mult0 (.a(x_reg0), .b(h0), .product(mul0));
karatsuba_multiplier mult1 (.a(x_reg1), .b(h1), .product(mul1));
karatsuba_multiplier mult2 (.a(x_reg2), .b(h2), .product(mul2));
karatsuba_multiplier mult3 (.a(x_reg3), .b(h3), .product(mul3));
brent_kung_csa_16bit adder1 (.a(mul0), .b(mul1), .sum(sum1), .carry_out());
brent_kung_csa_16bit adder2 (.a(mul2), .b(mul3), .sum(sum2), .carry_out());
always @(posedge clk or posedge reset) begin
if (reset) begin
h0 <= 8'd1;
h1 <= 8'd2;
h2 <= 8'd3;
h3 <= 8'd4;
x_reg0 <= 8'd0;
x_reg1 <= 8'd0;
x_reg2 <= 8'd0;
x_reg3 <= 8'd0;
y <= 16'd0;
end else begin
x_reg0 <= x;
x_reg1 <= x_reg0;
x_reg2 <= x_reg1;
x_reg3 <= x_reg2;
y<=sum1+sum2;
end
end
endmodule
module brent_kung_csa_16bit (
input wire [15:0] a,
input wire [15:0] b,
output wire [15:0] sum,
output wire carry_out
);
wire [7:0] sum_low, sum_high, sum_high_with_carry1;
wire carry_out_low, carry_out_high, carry_out_high_with_carry1;
wire [7:0] a_low = a[7:0];
wire [7:0] b_low = b[7:0];
wire [7:0] a_high = a[15:8];
wire [7:0] b_high = b[15:8];
brent_kung_adder_8bit low_adder (
.a(a_low),
.b(b_low),
.sum(sum_low),
.carry_in(1'b0),
.carry_out(carry_out_low)
);
brent_kung_adder_8bit high_adder_0 (
.a(a_high),
.b(b_high),
.carry_in(1'b0), // Set carry_in to 0
.sum(sum_high),
.carry_out(carry_out_high)
);
brent_kung_adder_8bit high_adder_1 (
.a(a_high),
.b(b_high),
.carry_in(1'b1), // Set carry_in to 1
.sum(sum_high_with_carry1),
.carry_out(carry_out_high_with_carry1)
);
assign sum = {carry_out_low ? sum_high_with_carry1 : sum_high, sum_low};
assign carry_out = carry_out_low ? carry_out_high_with_carry1 : carry_out_high;
endmodule
module brent_kung_adder_8bit (
input wire [7:0] a,
input wire [7:0] b,
input wire carry_in,
output wire [7:0] sum,
output wire carry_out
);
wire [7:0] g, p;
wire [7:0] c;
assign g = a & b;
assign p = a ^ b;
assign c[0] = carry_in; // Use carry_in for the first carry
assign c[1] = g[0] | (p[0] & c[0]);
assign c[2] = g[1] | (p[1] & c[1]);
assign c[3] = g[2] | (p[2] & c[2]);
assign c[4] = g[3] | (p[3] & c[3]);
assign c[5] = g[4] | (p[4] & c[4]);
assign c[6] = g[5] | (p[5] & c[5]);
assign c[7] = g[6] | (p[6] & c[6]);
assign carry_out = g[7] | (p[7] & c[7]);
assign sum = p ^ c;
endmodule
module karatsuba_multiplier (
input wire [7:0] a,
input wire [7:0] b,
output wire [15:0] product
);
wire [3:0] a_low, a_high, b_low, b_high;
wire [7:0] P1, P2, P3;
wire [15:0] part1, part2, part3;
assign a_low = a[3:0];
assign a_high = a[7:4];
assign b_low = b[3:0];
assign b_high = b[7:4];
assign P1 = a_low * b_low;
assign P2 = a_high * b_high;
assign P3 = (a_low + a_high) * (b_low + b_high) - P1 - P2;
assign part1 = {P2, 8'b0};
assign part2 = {P3, 4'b0};
assign part3 = P1;
assign product = part1 + part2 + part3;
endmodule
You might also like
Carry Save Adder Verilog Code
PDF
50% (2)
Carry Save Adder Verilog Code
5 pages
Addc Lab
PDF
No ratings yet
Addc Lab
10 pages
Answers
PDF
No ratings yet
Answers
4 pages
m.E vlsi lab
PDF
No ratings yet
m.E vlsi lab
52 pages
64 Bit Adder
PDF
No ratings yet
64 Bit Adder
2 pages
Vlsi Lab Stud.record-1
PDF
No ratings yet
Vlsi Lab Stud.record-1
52 pages
Vedic Multiplier 16 Bit Using Adders (2) 11
PDF
No ratings yet
Vedic Multiplier 16 Bit Using Adders (2) 11
62 pages
verilog
PDF
No ratings yet
verilog
35 pages
Vlsi Lab Manual
PDF
No ratings yet
Vlsi Lab Manual
87 pages
128 Bit Carry Select Adder
PDF
No ratings yet
128 Bit Carry Select Adder
53 pages
Dataflow Modelling
PDF
No ratings yet
Dataflow Modelling
30 pages
Array Multiplier 8x8 Verilog Code
PDF
0% (1)
Array Multiplier 8x8 Verilog Code
5 pages
VLSI
PDF
No ratings yet
VLSI
20 pages
Lab 5
PDF
No ratings yet
Lab 5
16 pages
EEE 306 Post LAB 6
PDF
No ratings yet
EEE 306 Post LAB 6
7 pages
Module:4 Design of Data Path Circuits 6 Hours
PDF
No ratings yet
Module:4 Design of Data Path Circuits 6 Hours
44 pages
DIFFERENT MULTILPIERS CODES
PDF
No ratings yet
DIFFERENT MULTILPIERS CODES
5 pages
DLD MOD1.5
PDF
No ratings yet
DLD MOD1.5
7 pages
Without Assign
PDF
No ratings yet
Without Assign
3 pages
RCA
PDF
No ratings yet
RCA
6 pages
Fir Seq
PDF
No ratings yet
Fir Seq
2 pages
Verilog Programs
PDF
No ratings yet
Verilog Programs
61 pages
Adder Seq
PDF
No ratings yet
Adder Seq
1 page
Adder Comb
PDF
No ratings yet
Adder Comb
1 page
vlsi coppy1-2
PDF
No ratings yet
vlsi coppy1-2
3 pages
23ucc597 Asgn 6
PDF
No ratings yet
23ucc597 Asgn 6
5 pages
Comb Logic
PDF
No ratings yet
Comb Logic
4 pages
32 Vedic
PDF
No ratings yet
32 Vedic
6 pages
Assignment Ar
PDF
No ratings yet
Assignment Ar
5 pages
Lab 3 DP
PDF
No ratings yet
Lab 3 DP
6 pages
Encrypted Alu Code
PDF
No ratings yet
Encrypted Alu Code
8 pages
Verilog Imp...
PDF
No ratings yet
Verilog Imp...
105 pages
Verilog Implementation of Gaussion Random Number Generator Using Boxmuller Method, Full Verilog Code
PDF
No ratings yet
Verilog Implementation of Gaussion Random Number Generator Using Boxmuller Method, Full Verilog Code
22 pages
Verilog Basic Programs
PDF
No ratings yet
Verilog Basic Programs
70 pages
Digital Logic Design: Assignment# 3
PDF
No ratings yet
Digital Logic Design: Assignment# 3
8 pages
ALU
PDF
No ratings yet
ALU
3 pages
Vhdl-Lab Work File
PDF
No ratings yet
Vhdl-Lab Work File
28 pages
LAB. 06 Alu Design 01: Circuit Diagram
PDF
No ratings yet
LAB. 06 Alu Design 01: Circuit Diagram
3 pages
Ec6612 Vlsi Design Lab - Exact Record Details
PDF
No ratings yet
Ec6612 Vlsi Design Lab - Exact Record Details
54 pages
Module BOOTH
PDF
No ratings yet
Module BOOTH
6 pages
ALU Design Assignment
PDF
No ratings yet
ALU Design Assignment
9 pages
2022 Scheme Verilog Programs
PDF
No ratings yet
2022 Scheme Verilog Programs
4 pages
Verilog revision
PDF
No ratings yet
Verilog revision
2 pages
Advanced Computer Architechture Lab Assignment 3
PDF
No ratings yet
Advanced Computer Architechture Lab Assignment 3
8 pages
Verilog Basics
PDF
No ratings yet
Verilog Basics
35 pages
Cs 354 Project 1
PDF
No ratings yet
Cs 354 Project 1
12 pages
ECE241H1 - 20199 - 661580945892ECE241 Midterm Cheat Sheet
PDF
No ratings yet
ECE241H1 - 20199 - 661580945892ECE241 Midterm Cheat Sheet
2 pages
Array Multiplier 8x8 Verilog Code
PDF
100% (1)
Array Multiplier 8x8 Verilog Code
5 pages
1.basic Gates and or Nor Nand
PDF
No ratings yet
1.basic Gates and or Nor Nand
32 pages
Simple - Combinational - Mult: Reg Integer
PDF
No ratings yet
Simple - Combinational - Mult: Reg Integer
2 pages
16 Bit Adder
PDF
100% (2)
16 Bit Adder
4 pages
Carry Look Ahed Adder
PDF
No ratings yet
Carry Look Ahed Adder
8 pages
Full Adder 4 Bits
PDF
No ratings yet
Full Adder 4 Bits
1 page
4 Bit Wallace Tree Multiplier
PDF
100% (1)
4 Bit Wallace Tree Multiplier
2 pages
HW 1
PDF
No ratings yet
HW 1
11 pages
ASIC Design Lab Reports
PDF
No ratings yet
ASIC Design Lab Reports
16 pages
CIA Part 1 Cheat Sheet Updated June 2017
PDF
67% (3)
CIA Part 1 Cheat Sheet Updated June 2017
20 pages
Java Methods
PDF
No ratings yet
Java Methods
23 pages
Problem 1.1: Let Be The Full 4-Bit Adder Described in The Following Verilog Module
PDF
No ratings yet
Problem 1.1: Let Be The Full 4-Bit Adder Described in The Following Verilog Module
5 pages
System Setup Ex Series
PDF
No ratings yet
System Setup Ex Series
322 pages
Introducere SIG ONG 2016 Site
PDF
No ratings yet
Introducere SIG ONG 2016 Site
104 pages
Answers: Questions
PDF
No ratings yet
Answers: Questions
2 pages
WindreamCentera e
PDF
No ratings yet
WindreamCentera e
44 pages
Inverse Laplace Transforms
PDF
No ratings yet
Inverse Laplace Transforms
4 pages
Protection and Security
PDF
No ratings yet
Protection and Security
30 pages
Detailed SWOT Analysis
PDF
100% (6)
Detailed SWOT Analysis
27 pages
Interdomain Routing and The Border Gateway Protocol: CIS 800/003 12 September 2011
PDF
No ratings yet
Interdomain Routing and The Border Gateway Protocol: CIS 800/003 12 September 2011
67 pages
pgpool-II - Streaming Replication
PDF
No ratings yet
pgpool-II - Streaming Replication
41 pages
Report On Finalization of Radio Listeners Club Guideline
PDF
100% (1)
Report On Finalization of Radio Listeners Club Guideline
26 pages
How To Port Forward Your Unifi Dlink Dir-615 Router PDF
PDF
No ratings yet
How To Port Forward Your Unifi Dlink Dir-615 Router PDF
13 pages
IPI2win Brief Introduction PDF
PDF
No ratings yet
IPI2win Brief Introduction PDF
3 pages
UniFi Cloud Key DS
PDF
No ratings yet
UniFi Cloud Key DS
4 pages
Assembly Language Programming
PDF
No ratings yet
Assembly Language Programming
14 pages
HP 3par Storeserv Storage: The Only Storage Architecture You Will Ever Need
PDF
No ratings yet
HP 3par Storeserv Storage: The Only Storage Architecture You Will Ever Need
16 pages
System Provisioning SWPM 10 SP22 Java DualStack Trex 70
PDF
No ratings yet
System Provisioning SWPM 10 SP22 Java DualStack Trex 70
7 pages
Matlab Guide Instalation Student Account
PDF
No ratings yet
Matlab Guide Instalation Student Account
14 pages
DB2 Sample Database - Modifying Storage Path - Db2talk
PDF
No ratings yet
DB2 Sample Database - Modifying Storage Path - Db2talk
7 pages
Saida Resume Embede SHAM
PDF
No ratings yet
Saida Resume Embede SHAM
3 pages
023 Kaama Mandiram 01
PDF
86% (29)
023 Kaama Mandiram 01
7 pages
Competitive Constructs of ERP Implementation in Public Sector in Pakistan
PDF
No ratings yet
Competitive Constructs of ERP Implementation in Public Sector in Pakistan
11 pages
How To Search For Text Inside of Any File Using Windows Search
PDF
No ratings yet
How To Search For Text Inside of Any File Using Windows Search
3 pages
Sam Shah
PDF
No ratings yet
Sam Shah
3 pages
Sap WM Interview Questions and Answers
PDF
No ratings yet
Sap WM Interview Questions and Answers
1 page
Hierarchical Routing Protocols in Wireless Sensor Network
PDF
No ratings yet
Hierarchical Routing Protocols in Wireless Sensor Network
5 pages
Cv-Abdul Nasir Zaman
PDF
No ratings yet
Cv-Abdul Nasir Zaman
2 pages
Autocad DXF: From Wikipedia, The Free Encyclopedia
PDF
No ratings yet
Autocad DXF: From Wikipedia, The Free Encyclopedia
3 pages
Javascript Assessment Test
From Everand
Javascript Assessment Test
Edward Yao
No ratings yet
150+ C Pattern Programs
From Everand
150+ C Pattern Programs
Hernando Abella
No ratings yet
Computer Engineering Laboratory Solution Primer
From Everand
Computer Engineering Laboratory Solution Primer
Karan Bhandari
No ratings yet