EC8095 VLSI Design Question Bank
EC8095 VLSI Design Question Bank
COIMBATORE – 641105
DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING
ACADEMIC YEAR: 2023-2024 (ODD)
QUESTION BANK
1
Draw the energy band diagrams of the components that make up the MOS K1
system.
6.
3
21.Classify the types of integration. K2
The integration of components is classified into five types.
21 i. Small scale Integration
ii. Medium scale Integration
iii. Large scale Integration
iv. Very Large scale Integration
v. Ultra Large scale Integration
4
28 What is depletion mode?
When VGs is increased greater than threshold voltage VT, the electrons are
attracted towards the gate and the holes are repelled away from gate. Thus K1
depletion region is formed under the gate. This is called depletion mode.
44 What is the threshold voltage of a MOS transistor? How it varies with the
body bias?
One of the parameters that characterize the switching behavior of a MOS
transistor is its threshold voltage Vt. This can be defined as the gate voltage at
which a MOS transistor begins to conduct. For enhancement mode, the n-mos
MOSFET body effect upon threshold voltage is given as K1
V tn =V t 0+ γ ¿
Where, Vtn is threshold voltage when substrate is present, Vsb is the source to
body substrate bias, 2ϕf is the source potential and Vto is the threshold voltage
for zero substrate bias
46 What is body effect? How does it influence the threshold voltage of a MOS
transistor?
All MOS transistors are usually fabricated on a common substrate and substrate
(body) voltage of all devices is normally constant. When circuits are realized
using a number of MOS devices, several devices are connected in series. This
results in different source potentials for different devices. It may be noted that K1
the threshold voltage V, is not constant with respect to the voltage difference
between the substrate and the source of the MOS transistor. This is known as
the substrate-bias effect or body effect. Increasing the Vsb causes the channel to
be depleted of charge carries and this leads to increase in the threshold voltage.
K1
54 What are the advantages of CMOS inverter over the other inverter
configurations?
i. The steady state power dissipation of the CMOS inverter circuit is
negligible. K1
ii. The voltage transfer characteristic (VTC) exhibits a full output voltage
wing between 0V and VDD. This results in high noise margin.
8
57 Draw the ideal characteristics of a CMOS inverter and compare it with the
actual characteristics.
The ideal and actual characteristics are given below. In the ideal characteristics,
the output voltage is Vdd for input voltage from o to Vdd/2 and 0 for input
voltage from Vdd/2 to Vdd. This is not true in case of the actual characteristics
as shown below.
K1
58 What is noise margin? Find out the noise margin from the actual
characteristics of the inverter.
An important parameter called noise margin is associated with the input-output
voltage characteristics of a gate. It is defined as the allowable noise voltage on
the input of a gate so that the output is not affected. The deviations in logic
levels from the ideal values, which are restored as the signal propagates to the
output, can be obtained from the DC characteristic curves. The logic levels at
the input and output are given by
The noise margins are: K1
NM L =|V IL−V OL|
NM H =|V OH −V IH|
Logic 0 input: 0 ≤ V I ≤ V IL
Logic 1 input: V IH ≤ V L ≤V dd
Logic 0 input: 0 ≤ V 0 ≤ V 0 L
Logic 1 input: V 0 H ≤ V 0 ≤V dd
59 Interpret the capacitance of a MOS capacitor.
The capacitance of a parallel plate capacitor is given
ε 0 ε ins A
C 0= Farads
D K2
Where A is the area of the plates and D is the thickness of the insulator between
the plates.
NMOS PMOS
The majority carriers are electrons The majority carriers are holes
Positive voltage is applied at the Negative voltage is applied at the
K2
gate terminal gate terminal
NMOS conducts at logic 1 PMOS conducts at logic 0
Mobility of electron is high Mobility of electron is low
Switching speed is high Switching speed is low
24.
PART B & PART C (13 & 15 Marks)
67 Explain with a neat layout, the design rules for a CMOS inverter. 13 K2
68 Illustrate with necessary equation the operation of MOSFET and its 13 K2
current voltage characteristics.
69 Draw and explain the D.C and transfer characteristics of a CMOS 15 K2
inverter with a necessary condition for the different regions of
operation.
70 Outline the principle of constant field scaling and also write its 13 K2
effect on device characteristics.
71 Explain the small signal model of MOS transistors with neat 15 K2
10
diagram and expression.
72 Draw the stick diagram and layout of a NMOS inverter. 13 K1
73 Derive an expression for Vin of a CMOS inverter to achieve the 15 K2
condition Vin = Vout, What should be the relation for βn = βp.
74 Explain about the scaling concept and reliability concept. 13 K2
75 Explain about the resistive and capacitive delay estimation of a CMOS 13 K2
Inverter circuit.
76 Discuss the CV characteristics of the CMOS 13 K1
77 Explain about the I-V characteristics of MOS transistor 13 K2
Illustrate the non ideal I-V characteristics of MOS transistor with 15 K2
78 necessary equations.
Explain briefly about the impact of RC Delay model and Elmore delay 13 K2
79 model in CMOS design.
11
UNIT II COMBINATIONAL MOS LOGIC CIRCUITS
PARTA
15 What are the various ways to reduce the delay time of a CMOS inverter? K1
i. the width of the MOS transistor can be increased to reduce delay. This is
known as gate sizing.
ii. the load capacitance can be reduced to reduce delay. This is achieved by
using transistor of smaller and smaller dimension by feature generation
13
technology.
iii. delay can also be reduced by increasing the supply voltage Vdd and/or
reducing the threshold voltage Vt of the MOS transistors
14
23 Classify the CMOS family. K2
i. Static CMOS
ii. Dynamic CMOS
iii. Ratioed circuits
iv. Pass transistor
24 Write a note on CMOS transmission gate logic. K1
A single nMOS or pMOS pass transistor suffers from a threshold drop. If used
alone, additional circuitry may be needed to pull the output to the rail.
Transmission gates solve this problem but require two transistors in parallel.
The resistance of a unit-sized transmission gate can be estimated as R for the
purpose of delay estimation. Current flows through the parallel combination of
the nMOS and pMOS transistors. One of the transistors is passing the value
well and the other is passing it poorly; for example, a logic 1 is passed well
through the pMOS but poorly through n MOS.
25 Given the choice between NOR or NAND logic, which one would you K2
prefer for implementation in pseudo-NMOS. Why?
NOR logic would be more sensible to implement in pseudo-NMOS logic since
you will have a pull-down network of parallel transistors as opposed to a chain
of transistors in series. A design in NOR logic would therefore most likely be
faster than the NAND logic.
26 What is meant by logical effort? K1
Logical effort of each input is the ratio of the input capacitance of input to the
input capacitance of the inverter.
27 What is parasitic delay? K1
Parasitic delay is estimated from the total diffusion capacitance on output node
by summing the sizes of the transistors attached to the output. Parasitic delay is
defined as the ratio of the parasitic capacitance to the input capacitance of the
inverter.
28 Define effort delay. K1
The delay that depends on the load and on properties of the logic gate driving
the load.
f = gh
where g — logical effort of gate, h — electrical effort of load.
29 What is path logical effort? K1
The path logical effort is the product of the logical efforts of all the logic gates
along the path.
G = π gi
30 Illustrate the following expression in a full static CMOS logic fashion using K2
no more than 10 transistors:
Y = (A.B) + (A.C.E) + (D.E) + (D.C.B)
15
31 What are glitches? K1
The finite propagation delay from one logic block to the next causes spurious
transitions. These transitions are called as glitches or dynamic hazards. A node
can exhibit multiple transitions in a single clock cycle before settling to the
correct logic level.
32 What is electrical effort? K1
Electrical effort is the ratio of the input capacitance of the load to that of the
gate. Logical effort does not take the load into account and hence we have the
term “electrical effort” which takes the load into account.
33 What is a bleeder transistor? K1
In order to cope up with leakage currents, we include a bleeder transistor to the
circuit. This transistor helps to compensate the sub threshold current of the cut-
off transistor with its current.
34 Define ratioed logic. K1
It is used to reduce the number of transistors required to implement a given
logic function. Pull up network pulls Vout to Vdd & pull down network pulls
Vout to Vss in case of Vin=1. This output is called “ratioed logic or non zero
output”.
35 Define activity factor. K1
The node transition activity factor is a statistical parameter and is data
rate dependent and defines the probability of the gate's output to make logic
transition during one clock cycle.
36 How sub threshold current occurs? K1
It is due to carrier diffusion between the source and drain region when transistor
is in inversion. Sub threshold current becomes significant when Vgsd>Vt. At
this point sub threshold current occurs.
37 What are static circuits? K1
In static circuits, at every point of time, each gate output is connected to either
VDD or Vss via a low-resistance path. The outputs of the gates assume at all
times the value of the Boolean function implemented by the circuit.
38 What is branching effort? K1
Branching effort b of a logical gate on a given path is
C on path +C off path
b=
C on path
where Con-path is the load capacitance of the gate along the path we analyze
and Coff path is the capacitance of the connections that lead off the path.
39 What are the properties of Domino Logic? K1
i. Since each dynamic gate has a static inverter, only non-inverting logic
can be implemented.
ii. Very high speeds can be achieved.
40 What are the properties of dynamic logic gates? K1
i. The logic function is implemented by the NMOS pull-down network.
ii. The number of transistors is lower than that in static case: N+2 versus
2N
iii. It is non-ratioed
iv. The logic gates have faster switching speeds.
41 What are the static properties of complementary CMOS gates? K1
They exhibit rail-to-rail swing with V0H=VDD and V0L=GND
The circuits have no static power dissipation, since the circuits are designed
such that the pull-down and pull-up networks are mutually exclusive.
The analysis of the DC voltage transfer characteristics and the noise margin is
more complicated than for the inverter, as these parameters depends upon the
16
data input patterns applied to the gate.
42 What do you mean by combinational circuits? K1
Combination logic circuits operate on multiple input variables and produces
outputs as Boolean function of the input. The output of the combinations circuit
depends on the combination of the input values.
43 Compare static and dynamic CMOS. K2
Dynamic CMOS
Static CMOS
The output of gate depends on the The output of gate depends on temporary
Boolean function implemented by storage of signal values on the
the circuit. capacitance of nodes.
44 Define degree of skewing. K1
The degree of skewing is defined as the ratio of effective resistance for the fast
transition relative to the slow transition.
45 What is unskewed gate? K1
βp V
If =1, the inverter threshold voltage is DD .This gate is said to be
βn 2
unskewed gate. It increases the noise margins and the capacitance load charges
and discharges in equal times.
[
t d=
Ln
+
Lp
] CL
( )
2
K nW n K pW p Vt
V dd 1−
V dd
Where C is the load capacitance, Vdd is the supply voltage and Vt is the
threshold voltages of the MOS transistors.
55 For a complex/compound CMOS logic gate, how do you realize the pull-up K2
and the pull-down networks?
A CMOS logic gate consists of a nMOS pull-down network and a pMOS pull-
up network. The nMOS network is connected between the output and the
ground, whereas the pull-up network is connected between the output and the
power supply. The nMOS network corresponds to the complement of the
function either in sum-of-product or product-of-sum forms and the pMOS
network is dual of the nMOS network .
56 Give the two possible topologies AND-OR-INVERT (A0I) and OR-AND- K2
INVERT (OAI) to realize CMOS logic gate. Explain with an example.
The AND-OR-INVERT network corresponds to the realization of the nMOS
network in sum-of-product form. Whereas the OR-AND-INVERT network
corresponds to the realization of the nMOS network in product-of-sum form. In
both the cases, the pMOS network is dual of the nMOS network.
57 How do you realize pseudo nMOS logic circuits. Compare its advantage K2
and disadvantages with respect to standard static CMOS circuits.
In the pseudo-nMOS realization, the pMOS network of the static CMOS
realization is replaced by a single pMOS transistor with its gate connected to
GND. An n-input pseudo nMOS requires n+1 transistors compared to 2n
transistors of the corresponding static CMOS gates. This leads to substantial
reduction in area and delay in pseudo nMOS realization. As the pMOS
transistor is always ON, it leads to static power dissipation when the output is
LOW.
58 In what way relay logic circuits differ from pass transistor logic circuits? K2
Why the output of a pass transistor circuit is not used as a control signal
for the next stage?
Logic functions can be realized using pass transistors in a manner similar to
relay contact networks. However, there are some basic differences as mentioned
below:
i. In relay logic, output is considered to be '1' when there is some voltage
passing through the relay logic. Absence of voltage is considered to be
'0'. On the other hand, in case of pass transistor logic it is essential to
provide both charging and discharging path for the output load
capacitance.
ii. There is no voltage drop in the relay logic, but there is some voltage
18
drop across the pass transistor network.
iii. Pass transistor logic is faster than relay logic.
59 Outline the advantages and limitations of pass transistor logic circuits. K2
How the limitations are overcome?
Pass transistor realization is ratioless, i.e. there is no need to have L:W ration in
the realization. All the transistors can be of minimum dimension. Lower area
due to smaller number of transistors in pass transistor realization compared to
static CMOS realization. Pass transistor realization also has lesser power
dissipation because there is no static power and short-circuit power dissipation
in pass transistor circuits.
The limitations are
i. Higher delay in long chain of pass transistors
ii. Multi-threshold Voltage drop ( Vout = Vdd – Vtn)
iii. Complementary control signals
iv. Possibility of sneak path because of the presence of path to Vdd and
GND.
Disadvantages
i. Larger number of transistors (larger chip area and delay)
ii. Spurious transitions (glitch) due to finite propagation delays leading to
extra power dissipation and incorrect operation
iii. Short circuit power dissipation Weak output driving capability
iv. Large number of standard cells requiring substantial engineering effort
for technology mapping
Disadvantages
i. Higher switching activity
ii. Not as robust as static CMOS logic
iii. Clock skew problem in cascaded realization
iv. Suffers from charge sharing problem
v. Mature synthesis tool not available
Pass-Transistor Logic
22
Advantages
i. Lower area due to smaller number of transistors and smaller input loads
ii. Ratio-less PTL allows minimum dimension transistors and hence makes
area efficient circuit realization
iii. No short circuit current leading to lower power dissipation
Disadvantages
i. Increased delay due to long chain pf pass-transistors
ii. Multi-threshold voltage drop
iii. Dual-rail logic to provide all signals in complementary form
iv. There is possibility of sneak path
82 Compare standby and runtime leakage power. Why runtime leakage K2
power is becoming important in the present day context?
Standby leakage power dissipation takes place when the circuit is not in use, i.e.
inputs do not change and clock is not applied. On the other hand, runtime
leakage power dissipation takes place when the circuit is being used.
83 How supply voltage scaling leads to run time leakage power reduction? K1
Supply voltage reduction not only leads to the reduction of dynamic power, it
also leads to the reduction of leakage power. The subthreshold leakage due to
GIDL and DIBL decreases as supply voltage is scaled down. It has also been
demonstrated that the supply voltage scaling impacts in the orders of V3 and V4
on subthreshold leakage and gate leakage, respectively.
84 Compare VTCMOS and MTCMOS for leakage power reduction. K2
In case of VTCMOS, basic principle is to adjust threshold voltage by changing
substrate bias. Transistors initially have low Vth during normal operation and
substrate bias is altered using substrate bias control circuit. The threshold is
increased by using reverse body bias when the circuit is not in use. Effective in
reducing leakage power dissipation in standby mode and it involved additional
area and higher circuit complexity. So, it is a post-silicon approach.
On the other hand, in case of MTCMOS approach MOS transistors of multiple
threshold voltages are fabricated in which a power gating transistor is inserted
in the stack between the logic transistors and either power or ground, thus
creating a virtual supply rail or a virtual ground rail, respectively. The logic
block contains all low-Vth transistors for fastest switching speeds while the
switch transistors, header and footer, are built using high-Vth transistors to
minimize the leakage power dissipation. So, it is a pre-silicon approach.
85 Outline the concept of dual Vt assignment for the reduction of leakage K2
power.
This is based on the observation that all gates are not on the critical path when
the circuit is represented with the help of a directed acyclic graph (DAG). So.
gates on the critical path can be realized using Low-Vth transistors for high
performance and the gates on the noncritical path are realized using high-Vth
transistors to reduce leakage power. This is the basic concept of dual Vt
assignment.
86 Infer how the threshold voltage can be dynamically adjusted to reduce K2
leakage power dissipation.
Just like dynamic the Vdd scaling scheme, a dynamic Vth scheme (DVTS) can
be used to reduce runtime leakage power in sub-100-nm generations, where
leakage power is significant portion of the total power at runtime. When the
workload is less than the maximum, the processor is operated at lower clock
frequency. Instead of reducing the supply voltage, the DVTS hardware raises
the threshold voltage using reverse body biasing to reduce runtime leakage
power. Just enough throughput is delivered for the current workload by
23
dynamically adjusting the Vth in an optimal manner to maximize leakage power
reduction. A simpler scheme is called Vth-hopping which dynamically switches
between only two threshold voltages; Low-Vt and High-Vt as the frequency
controller generates either FCLK or FCLK/2, respectively.
87 How parameter variations impact on yield of present day VLSI circuits? K1
Fluctuations are attributed to the manufacturing process (e.g., drifts in Leff,
Tox, Vt, or Ncheff), which affect circuit yield. For example, with in die
variation in Leff can be as high as 50%. 30% delay variation and 20X leakage
variation between fast and slow dies have been reported for 0.18µ CMOS
process. Low leakage chips with too low frequency must be discarded and high
frequency chips with too high leakage must also be discarded. This results to
reduction in yield.
88 What is bubble pushing? K1
Here two bubbles are pushed in the input side. This is known as bubble pushing.
89 What is Domino Logic? K1
The dynamic static pair is known as domino gate. The monotonicity problem
can be solved by connecting a static CMOS inverter between the dynamic gates.
It is used to convert monotonically falling output into a monotonically rising
signal suitable for the next gate.
90 Define Pass transistors (or) Explain steering logic. What are the K2
Advantages of pass transistor logic? What is n MOS Pass transistor?
These are single MOSFET which passes the signal between the drain and
source terminals instead of a fixed power supply value.
Advantages:
i. These are not 'ratio' devices.
ii. They do not have a path from + supply to ground. So, they don't
dissipate standby power.
24
92 How to overcome charge sharing? K1
By using Keeper circuit, charge sharing can be avoided
26
UNIT III
SEQUENTIAL CIRCUIT DESIGN
PARTA
27
A latch stores when the clock level is A Flip Flop stores when the clock
low and transparent when the level is rises and is mostly never
high. transparent.
9 Interpret the term Pipelining. K2
A pipeline is a set of data processing elements connected in series, so that the output
of one element is the input of the next one. In most of the cases we create a pipeline
by dividing a complex operation into simpler operations. Pipelining is a popular
design technique often used to accelerate the operation of the data path in digital
processors. The major advantages of pipelinig are to reduce glitching in complex
logic networks and getting lower energy due to operand isolation.
10 How the limitations of a ROM-based realization are overcome in a PLA-based K1
realization?
In a ROM, the encoder part is only programmable and use of ROMs to realize
Boolean functions is wasteful in many situations because there is no cross-connect for
a significant part. This wastage can be overcome by using Programmable Logic Array
(PLA), which requires much lesser chip area.
11 In what way the DRAMs differ from SRAMs? K2
Both SRAMs and DRAMs are volatile in nature, ie. Information is lost if power line
is removed. However SRAMs provide high switching speed, good noise margin but
require large chip area than DRAMs.
12 Explain the read and write operations for a one-transistor DRAM cell. K2
A significant improvement in the DRAM evolution was to realize 1-T DRAM cell.
One additional capacitor is explicitly fabricated for storage purpose. To store 'I', it is
charged to store '0' it is discharged to '0' volt. Read operation is destructive. Sense
amplifier is needed for reading. Read operation is followed by restoration operation.
13 What is MTBF? K1
T =T setup
T ie i
1 τi
MTB F= =
P (failure ) N T0
14 Outline Max delay constraint and Min delay constraint. K2
Min delay constraint:
The path begins with the rising edge of the clock triggering F1. The data may begin to
change at Q1 after a clk-to-Q contamination delay. However, it must not reach D2
until at least the hold after the clock edge, lest it corrupt the contents of F2. Hence, we
solve for minimum logic contamination delay :
tcd>= thold – tccq
Max delay constraint :
The path begins with the rising edge of the clock triggering F1. The data must
propagate to the output of the flipflop Q1 and through the combinational logic to D2,
setting up at F2 before the next rising clock edge. Under ideal conditions, the worst
case propagation delays determine the minimum clock period for this sequential
circuitry
Tc>= tpcq + tpd + tsetup
Registers
Latches
Latches are level sensitive and the Registers on the other hand are edge
input is transferred to the output triggered i.e. they transfer input to the
during the clock transition. output at clock's edges.
The latches are divided into Positive The registers are classified as Positive
latch and Negative latch edge triggered and Negative edge
triggered
40 Contrast Latches and Flipflop. K2
Flipflop
Latches
A latch is level-sensitive A flip-flop is edge triggered
Stores when the clock level is low Stores when the clock rises and is
and is transparent when the level is mostly never transparent. Since flip-
high flops only change value in response
to a change in the clock value, timing
parameters can be specified in
relation to the rising (for positive
edge-triggered) or falling (for
negative-edge triggered) clock edge.
41 Explain ROM. K2
A read only memory (ROM) is a device that includes both the decoder and the OR
gates within a single IC package. It consists of n input lines and m output lines. Each
bit combination of the input variables is called as an address. Each bit combination
that comes out of the output lines is called as a word. The number of distinct
addresses possible with n input variables is 2n.
42 What are the types of ROM? K1
i. PROM
ii. EPROM
iii. EEPROM
43 Explain PROM. K2
PROM (Programmable Read Only Memory) allows user to store data or program.
PROMs use the fuses with material like nichrome and polycrystalline. The user can
blow these fuses by passing around 20 to 50mA of current for the period 5 to 20s.The
blowing of fuses is called programming of ROM. The PROMs are one time
programmable. Once programmed, the information is stored permanently.
44 Explain EPROM. K2
EPROM (Erasable Programmable Read Only Memory) use MOS circuitry. They
store 1's and 0's as a packet of charge in a buried layer of the IC chip. We can erase
the stored data in the EPROMs by exposing the chip to ultraviolet light via its quartz
window for 15 to 20 minutes. It is not possible to erase selective information. The
chip can be reprogrammed.
31
45 Explain EEPROM. K2
EEPROM (Electrically Erasable Programmable Read Only Memory) also use MOS
circuitry. Data is stored as charge or no charge on an insulated layer or an insulated
floating gate in the device. EPROM allows selective erasing at the register level
rather than erasing all the information since the information can be changed by using
electrical signals.
46 What is FLASH memory? K1
FLASH is Electrically Erasable Programmable ROM. It is a combination of density
of EPROM and versatility of EEPROM.
47 Define address and word. K1
In a ROM, each bit combination of the input variable is called as address. Each bit
combination that comes out of the output lines is called a word.
48 What is programmable logic array? How it differs from ROM? K1
In some cases the number of don't care conditions is excessive, it is more economical
to use a second type of LSI component called a PLA. A PLA is similar to a ROM in
concept; however it does not provide full decoding of the variables and does not
generates all the min terms as in the ROM.
49 How the limitations of a ROM-based realization is overcome in a PLA-based K1
realization?
In a ROM, the encoder part is only programmable and use of ROMs to realize
Boolean functions is wasteful in many situations because there is no cross-connect for
a significant part. This wastage can be overcome by using Programmable Logic array
(PLA), which requires much lesser chip area.
50 Define RAM. K1
RAM is Random Access Memory. It is a random access read/write memory. The data
can be read or written into or from any selected address in any sequence.
51 Define Static RAM and dynamic RAM. K1
Static RAM uses flip flops as storage elements and therefore store data indefinitely as
long as dc power is applied. Dynamic RAMs use capacitors as storage elements and
cannot retain data for a long time without capacitors being recharged by a process
called refreshing.
52 List the two types of SRAM. K1
i. Asynchronous SRAMs
ii. Synchronous Burst SRAMs
53 List the basic types of DRAMs. K1
i. Fast Page Mode DRAM
ii. Extended Data Out DRAM (EDC DRAM)
iii. Burst EDO DRAM
iv. Synchronous DRAM
54 Explain the read and write operations for a one transistor DRAM cell. K2
A significant improvement in the DRAM evolution was to realize 1-T DRAM cell.
One additional capacitor is explicitly fabricated for storage purpose. To store ‘1’, it is
charged to Vdd-Vt and to store ‘0’, it is discharged to 0V. Read operation is
destructive. Sense amplifier is needed for reading. Read operation is followed by
restoration operation.
55 Define a bus. K1
A bus is a set of conductive paths that serve to interconnect two or more functional
components of a system or several diverse systems.
56 What are the Properties of 3T dynamic memory? K1
i. There is no constraint on device ratio. Device reliability depends on the device
size.
ii. Reading the cell is nondestructive. Data stored in cell is not affected by a read.
iii. Storage capacitance is not more than the gate capacitance of the readout
32
device.
iv. The threshold loss reduces the current flowing through M2 during read
operation. So read access time is increased. Some bootstrap is needed to avoid
this.
57 Sketch the schematic diagram of a SRAM memory cell along with sense K1
amplifier and data write circuitry.
33
60 Draw the circuit diagram of 3-transistor DRAM cell. K1
34
63 Draw the circuit diagram of 1-transistor DRAM cell. K1
37
UNITIV
8 Write down the expression to obtain delay for N-bit carry bypass adder. K1
tadder = tsetup +Mtcarry +(N/M-1)tbypass +(M-1)tcarry + tsum
9 Define Braun multiplier. K1
The simplest multiplier is the Braun multiplier. All the partial products are
computed in parallel, and then collected through a cascade of Carry Save
Adders. The completion time is limited by the depth of the carry save array, and
by the carry propagation in the adder. This multiplier is suitable for positive
operands.
10 Why do we go for Booth’s algorithm? K1
Booth algorithm is a method that will reduce the number of multiplicand
multiples. For a given number of ranges to be represented, a higher
representation radix leads to fewer digits.
38
11 List the different types of shifter. K1
Shifters are used to shift the numbers from one bit position to other. The various
types of shifters are as follows:
i. Array shifter
ii. Barrel shifter
iii. Logarithm shifter
12 Draw the truth table for Modified booth’s algorithm. K1
X2n+1 X2n X2n-1 f(2n) f(2n)Y
0 0 0 0 0
0 0 1 1 Y
0 1 0 1 Y
0 1 1 2 2Y
1 0 0 -2 -2Y
1 0 1 -1 -Y
1 1 0 -1 -Y
1 1 1 0 0
13 Draw the block diagram of full adder. K1
42
42 Define dot diagram. K1
Each dot represents a placeholder for single bit either 0 or 1. Partial products
are represented by a horizontal boxed row of dots, shifted depending on their
weight.
50 Give the expression for the propagation time of a carry bypass adder. K1
[( ) ]
t p=t setup + Mt carry +
N
M
−1 t bypass + [ M −1 ] t carry +t ∑ ¿ ¿
Where,
t setup :The fixed overhead time to create the generate and propagate signals.
44
t carry :The propagation delay through a single bit. The worst-case carry-
propagation delay through a single stage of M bits is approximately M times
larger.
t bypass : The propagation delay through the bypass multiplexer of a single stage
t ∑ ¿ :¿ The time to generate the sum of the final stage.
45
69 Discuss in detail about linear carry select adder with neat diagram. 13 K1
70 Develop the operation of booth multiplication with suitable example. 15 K3
Justify how booth algorithms speed up the multiplication process.
71 Construct 4 X 4 array type multiplier and find its critical path delay. 13 K3
72 Explain about the DRAM sub array and open bitlines architecture. 13 K2
46
UNIT V
PARTA
49
23 Write the goals of global routing. K1
The goal of global routing is to provide complete instructions to the detailed
router on where to route every net.
24 What type of interconnect scheme is used in ACTEL family? K1
The ACTEL FPGAs are based on an element called PLICE - Programmable
Low Impedance Circuit Element or antifuse. ACTEL deploys poly-diffusion
antifuse for interconnect. When high current density is applied, the thin
insulating dielectric between polysilicon and diffusion electrodes melts and
forms a thin, permanent and resistive silicon link.
25 Differentiate EPROM and EEPROM. K2
EPROM
EPROM is read and written electrically; before a write operation, all the storage
cells must be erased to the same initial state by exposure of the packaged chip
to ultraviolet radiation. Erasure is performed by shining an intense ultraviolet
light through a window that is designed into the memory chip.
EEPROM
EEPROM is a read- mostly memory that can be written into at any time without
erasing prior contents; only the byte or bytes addressed are updated.
26 What are the different types of CMOS testing? K1
i. Functionality tests
ii. Manufacturing tests
27 What is the aim of adhoc test techniques? K1
The adhoc test techniques are aimed at reducing the combinational explosion of
testing.
28 Compare functionality test and manufacturing test. K2
Functionality tests seek to verify that a chip as a whole is functionally
equivalent to some specification, whereas manufacturing tests are used to verify
that every gate operates as expected.
29 List any two faults that occur during manufacturing. K1
i. Stuck at fault
a) Stuck at 0 fault
b) Stuck at 1 fault
ii. SC & OC faults
a) Short circuit model fault
b) Open circuit model fault
30 What is the need for testing? K1
IC fabrication is very complex process. SO, there may be any imperfection
occur in any one of the stage. This imperfection may affect the result. So testing
is necessary to find out which IC is good and which IC is bad.
31 Write a note on functionality tests. K1
Functionality tests verify that the chip performs its intended function. These
tests assert that all the gates in the chip, acting in concert, achieve a desired
function. These tests are usually used early in the design cycle to verify the
functionality of the circuit.
32 Write a note on manufacturing tests. K1
Manufacturing tests verify that every gate and register in the chip functions
correctly. These tests are used after the chip is manufactured to verify that the
silicon is intact.
33 Mention the defects that occur in a chip. K1
i. layer-to-layer shorts
ii. discontinous wires
iii. thin-oxide shorts to substrate or well
34 Give some circuit remedies to overcome the defects. K1
i. nodes shorted to power or ground
50
ii. nodes shorted to each other
iii. inputs floating/outputs disconnected
35 What are the tests for I/O integrity? K1
i. I/O level test
ii. Speed test
iii. IDDQ test
36 What is meant by fault model? K1
Fault model is a model for how faults occur and their impact on circuits.
37 Give some examples of fault models. K1
i. Stuck-At Faults
ii. Short-Circuit and Open-Circuit Faults
38 Interpret the levels at which testing of a chip can be done. K2
i. At the wafer level
ii. At the packaged chip level
iii. At the board level
iv. At the system level
v. In the field
39 What is stuck – at fault? K1
With this model, a faulty gate input is modeled as a “stuck at zero” or “stuck at
one”. These faults most frequently occur due to thin-oxide shorts or metal-to-
metal shorts.
40 What do you infer by the term observability? K2
The observability of a particular internal circuit node is the degree to which one
can observe that node at the outputs of an integrated circuit.
41 What is meant by controllability? K1
The controllability of an internal circuit node within a chip is a measure of the
ease of setting the node to a 1 or 0 state.
42 What is known as percentage-fault coverage? K1
The total number of nodes that, when set to 1 or 0, do result in the detection of
the fault, divided by the total number of nodes in the circuit, is called the
percentage-fault coverage.
43 What is fault grading? K1
Fault grading consists of two steps. First, the node to be faulted is selected. A
simulation is run with no faults inserted, and the results of this simulation are
saved. Each node or line to be faulted is set to 0 and then 1 and the test vector
set is applied. If and when a discrepancy is detected between the faulted circuit
response and the good circuit response, the fault is said to be detected and the
simulation is stopped.
44 Mention the ideas to increase the speed of fault simulation. K1
i. parallel simulation
ii. concurrent simulation
45 What is fault sampling? K1
An approach to fault analysis is known as fault sampling. This is used in
circuits where it is impossible to fault every node in the circuit. Nodes are
randomly selected and faulted. The resulting fault detection rate may be
statistically inferred from the number of faults that are detected in the fault set
and the size of the set. The randomly selected faults are unbiased. It will
determine whether the fault coverage exceeds a desired level.
46 What are the approaches in design for testability? K1
i. ad hoc testing
ii. scan-based approaches
iii. self-test and built-in testing
47 Mention the common techniques involved in ad hoc testing. K1
i. partitioning large sequential circuits
ii. adding test points
51
iii. adding multiplexers
iv. providing for easy state reset
48 Outline the scan-based test techniques. K2
i. Level sensitive scan design
ii. Serial scan
iii. Partial serial scan
iv. Parallel scan
49 Interpret the two principles in LSSD. K2
i. The circuit is level-sensitive.
ii. Each register may be converted to a serial shift register.
50 What are the self-test techniques? K1
i. Signature analysis and BILBO
ii. Memory self-test
iii. Iterative logic array testing
51 What is known as BILBO? K1
Signature analysis can be merged with the scan technique to create a structure
known as BILBO- for Built In Logic Block Observation.
52 What is known as IDDQ testing? K1
A popular method of testing for bridging faults is called IDDQ or current-
supply monitoring. This relies on the fact that when a complementary CMOS
logic gate is not switching, it draws no DC current. When a bridging fault
occurs, for some combination of input conditions a measurable DC IDD will
flow.
53 What are the applications of chip level test techniques? K1
i. Regular logic arrays
ii. Memories
iii. Random logic
54 What is boundary scan? K1
The increasing complexity of boards and the movement to technologies like
multichip modules and surface-mount technologies resulted in system designers
agreeing on a unified scan-based methodology for testing chips at the board.
This is called boundary scan.
What is the test access port? K1
The Test Access Port (TAP) is a definition of the interface that needs to be
included in an IC to make it capable of being included in a boundary-scan
architecture. The port has four or five single bit connections, as follows:
i. TCK(The Test Clock Input)
ii. TMS(The Test Mode Select)
iii. TDI(The Test Data Input)
iv. TDO(The Test Data Output)
It also has an optional signal
i. TRST*(The Test Reset Signal)
55 What are the contents of the test architecture? K1
The test architecture consists of:
i. The TAP interface pins
ii. A set of test-data registers
iii. An instruction register
iv. A TAP controller
56 What is the TAP controller? K1
The TAP controller is a 16-state FSM that proceeds from state to state based on
the TCK and TMS signals. It provides signals that control the test data registers,
and the instruction register. These include serial-shift clocks and update clocks.
57 What is known as test data register? K1
The test-data registers are used to set the inputs of modules to be tested, and to
collect the results of running tests.
52
58 What is known as boundary scan register? K1
The boundary scan register is a special case of a data register. It allows circuit-
board interconnections to be tested, external components tested, and the state of
chip digital I/Os to be sampled.
59 What are the different chip level test techniques? K1
i. Fault models: Stuck at faults, Stuck-open or Stuck-Shut-Fault
ii. Scan path: Full scan, Partial scan
iii. Boundary scan Check- JTAG, TAP Controller, BIST
60 Explain VLSI verification and testing. K2
VLSI verification is done before manufacturing. Before even tapeout. This is
done for verifying if the chip design is working as expected. For example if we
have a counter design in Verilog, we can simulate the verilog file and verify if
the sequence is correct. This is functionality check.
VLSI testing is done after manufacturing. After the chips are made, we will
look for any structural damages or mistakes in the chip. At this stage, we will
check if the chip passes the test. We have to put some extra special logic into
the chip before it is taped out. This is called as Design For Testing (DFT).
PART B & PART C (13 & 15 Marks)
61 Explain the general architecture of FPGA and bring about different 13 K2
programmable blocks used.
62 Explain the programmable interconnects and I/O blocks used in FPGA. 15 K2
63 Why SRAM based FPGAs are popular when compared to other types? 13 K2
Explain?
64 Explain the manufacturing test principle with an example of digital 8 K2
logic circuits.
65 Describe the various types of adhoc testing techniques with neat 13 K1
diagram.
66 Outline the need of Observability for integrated circuits. 6 K2
67 Illustrate the concepts of short circuit and open circuit fault. 13 K2
68 Explain the architecture of parallel scan testing method. 13 K2
69 Interpret the boundary scan architectures and explain how test the 13 K2
circuit board level and system level.
70 Describe briefly about the BIST block structure along its components. 13 K1
71 Give a short note on stuck-at faults model. 6 K1
72 Explain the small finite state machine of TAP architecture. 13 K2
73 Draw the block diagram of BILBO\BIST and explain each unit 13 K2
operation.
74 Illustrate the steps involved in design for manufacturability to increase 13 K2
the yield of optimized circuit.
75 Draw and explain the building blocks of FPGA with different fusing 15 K2
technologies.
76 Discuss in detail about different types of scan design method and 15 K2
explain with neat diagram.
53