LCTISS_Low-Clock-Tree-Impact_Scan_Segmentation_for_Avoiding_Shift_Timing_Failures_in_Scan_Testing
LCTISS_Low-Clock-Tree-Impact_Scan_Segmentation_for_Avoiding_Shift_Timing_Failures_in_Scan_Testing
LCTI–SS:
Low-Clock-Tree-Impact
Scan Segmentation for
Avoiding Shift Timing
Failures in Scan Testing
Yuta Yamato Kohei Miyase and Seiji Kajihara
Nara Institute of Science and Technology Kyushu Institute of Technology
Michael A. Kochte
University of Stuttgart
60 2168-2356/12 B 2013 IEEE Copublished by the IEEE CEDA, IEEE CASS, IEEE SSCS, and TTTC IEEE Design & Test
Authorized licensed use limited to: INDIAN INSTITUTE OF TECHNOLOGY BOMBAY. Downloaded on February 04,2025 at 05:00:46 UTC from IEEE Xplore. Restrictions apply.
sufficient circuit quality levels. This is because
timing-related defects have become dominant in
such circuits [1].
In practice, a launch-on-capture (LOC) clocking
scheme has been widely used in scan testing be-
cause its scan enable (SE) signal has lower physical
design complexity than other clocking schemes. A
basic LOC clocking scheme is shown in Figure 1. In
the shift mode (SE ¼ 1), scan chains are operated as
shift registers with multiple clock pulses (S1 to SL )
for loading a new test vector and unloading the test
response to the previous test vector. Then, in the
capture mode (SE ¼ 0), a first capture pulse C1 is
applied for launching transitions and, subsequently,
a second capture pulse C2 is applied at system clock
cycle T for capturing the response to the launched
transitions. After that, SE is set to 1 again for un-
loading the test response and loading the next test
vector.
Figure 1. Test power safety issues.
July/August 2013 61
Authorized licensed use limited to: INDIAN INSTITUTE OF TECHNOLOGY BOMBAY. Downloaded on February 04,2025 at 05:00:46 UTC from IEEE Xplore. Restrictions apply.
LCTI–SS: Low-Clock-Tree-Impact Scan Segmentation
Background
Conventional scan
segmentation
The basic concept of scan
segmentation [7] is to split a
scan chain into multiple seg-
ments, and shift just one seg-
ment of the scan chain at a time
while keeping all other seg-
ments deactivated. Figure 2
shows an example of a scan
segmentation design for a cir-
cuit with three scan chains. The
original scan chains with length
L (Figure 2a) are split into three
shorter segments with length
L=3, resulting in a total of nine
segments S11 to S33 (Figure 2b).
Three gated clocks GCLK 1 ,
GCLK2 , and GCLK3 are con-
nected to all scan FFs in three
Figure 2. Conventional scan segmentation. (a) Basic scan architecture segment groups G1 ¼ fS11 ; S21 ;
with three scan chains. (b) Scan segmentation architecture. (c) Clock S31 g, G2 ¼ fS12 ; S22 ; S32 g, and
timing diagram for scan segmentation. G3 ¼ fS13 ; S23 ; S33 g, respectively.
degradation. In addition, test application time re- and segment regrouping ( 2 ), as described below.
mains the same as that of the standard scan archi- Given a circuit netlist N with standard full-scan
tecture. It has been reported in [7] that the average design, conventional scan segmentation (as illus-
shift power reduction ratio is approximately 50% for trated in Figure 2b) is first designed. The result is a
a two-segment configuration and 66% for a three- new netlist N 0 , for which place-and-route is con-
segment configuration. ducted to produce a layout design L and a clock-tree
design C. Based on these two types of information,
Shift timing failures IA identification ( 1 ) is conducted to identify nodes
Conventional scan segmentation can effectively (gates and FFs) whose transitions have significant
and predictably address the accumulative impact of impact on IR-drop-induced delay increase on clock
excessive SSA, thus solving the overheat problem paths. After that, segment regrouping ( 2 ) is con-
caused by high average SSA. However, it is unable to ducted to minimize the number of nodes in IAs
mitigate the instantaneous impact of excessive SSA. which may affect active clock paths. To illustrate the
As a result, IR-drop-induced delay increase may still LCTI–SS scheme, let us revisit the case shown in
occur along clock paths from a clock pin to scan Figure 2b. Here, the initial segment groups provided
FFs, which may cause clock skew and shift timing by conventional scan segmentation are G1 ¼ fS11 ;
failures and severely reduce shift safety and test S21 ; S31 g, G2 ¼ fS12 ; S22 ; S32 g, and G3 ¼ fS13 ; S23 ; S33 g.
yield. By applying the LCTI–SS scheme, scan segments are
Circuit level experiments show that even local IR regrouped, for example, into G10 ¼ fS13 ; S22 ; S31 g,
drop affecting only a single clock buffer may already G20 ¼ fS11 ; S21 ; S33 g, a n d G30 ¼ fS12 ; S23 ; S32 g, a s
cause an increase of the propagation delay of the shown in Figure 3a.
driven clock paths in the order of the designed
maximum clock skew. Reconfigurable scan segmentation architecture
In consequence, excessive SSA around clock Since clock trees are timing critical and have to
paths threatens shift safety by causing shift timing be perfectly balanced, it is objectionable to regroup
failures at scan FFs, resulting in undue yield loss. scan segments by modifying the clock trees after
With regard to scan segmentation, shift safety is not physical design and timing closure. This may lead to
guaranteed by reducing only global average SSA. clock-tree resynthesis, which in turn may change
There is a strong need for effectively reducing local other parts of the layout. As a result, the IAs may also
SSA around clock paths as well. change. To realize scan segment regrouping without
changing the layout, a programmable clock control
The LCTI–SS scheme is preferable [11]. Figure 3c shows an architecture of
This section describes LCTI–SS, for reducing a reconfigurable scan segmentation scheme with
the instantaneous SSA in the proximities of clock programmable clock control. It consists of clock
trees to reduce the risk of timing failures in scan control logic for scan chains, address registers, and
chains. Together with the intrinsic benefit of scan shadow registers. The inputs of the clock control
segmentation for reducing global average SSA to logic are CLK, SE, and the address representing
mitigate the overheat problem, the proposed which segment to activate. Each output is fed into
LCTI–SS significantly improves the overall shift the corresponding clock tree of a segment. At the
safety. beginning of scan testing, address data for the first
July/August 2013 63
Authorized licensed use limited to: INDIAN INSTITUTE OF TECHNOLOGY BOMBAY. Downloaded on February 04,2025 at 05:00:46 UTC from IEEE Xplore. Restrictions apply.
LCTI–SS: Low-Clock-Tree-Impact Scan Segmentation
n
SAðSÞ ¼ [ ðPAðPi ÞÞ:
i¼1
July/August 2013 65
Authorized licensed use limited to: INDIAN INSTITUTE OF TECHNOLOGY BOMBAY. Downloaded on February 04,2025 at 05:00:46 UTC from IEEE Xplore. Restrictions apply.
LCTI–SS: Low-Clock-Tree-Impact Scan Segmentation
X
NS
NSðNS þ 1Þ
i¼
i¼1
2
Experimental results
Th e p r o p o s e d L C T I – S S
scheme was implemented in
the C language for evaluation.
Six largest ITC’99 benchmark
circuits (b17 to b22) and one
industrial circuit (ck1) were
used in the experiments. The lay-
out was designed using the SAED
90-nm EDK digital standard cell
library with 1.2-V power supply
voltage under typical operating
conditions. Transition delay fault
test sets were generated to eval-
uate SSA at IAs. The profile of Figure 5. Segment regrouping algorithm.
the circuits and corresponding
test sets is shown in Table 1.
For each circuit, various scan configurations with
Table 1 Profile of circuits and test sets.
different numbers of scan chains and segments were
prepared according to the size of the circuit. For b17,
b20, b21, and b22, configurations with three, four,
and five scan chains were used. For b18 and b19,
configurations with ten, 30, and 50 scan chains were
used. For ck1, configurations with 100, 200, and
300 scan chains were used. Conventional scan seg-
mentation with three, four, and five segments were
applied, and LCTI–SS is then performed for each
configuration. Since our objective is to reduce IR
drop on clock paths by reducing switching activity at
IAs, ideally, a dynamic IR-drop analysis for all in-
dividual shift cycles should be performed for exact
July/August 2013 67
Authorized licensed use limited to: INDIAN INSTITUTE OF TECHNOLOGY BOMBAY. Downloaded on February 04,2025 at 05:00:46 UTC from IEEE Xplore. Restrictions apply.
LCTI–SS: Low-Clock-Tree-Impact Scan Segmentation
July/August 2013 69
Authorized licensed use limited to: INDIAN INSTITUTE OF TECHNOLOGY BOMBAY. Downloaded on February 04,2025 at 05:00:46 UTC from IEEE Xplore. Restrictions apply.
LCTI–SS: Low-Clock-Tree-Impact Scan Segmentation
puter Engineering, University of Stuttgart, Stuttgart, Kajihara has a PhD from Osaka University, Osaka,
Germany. His research interests include test gener- Japan (1992). He is a Member of the IEEE, the Insti-
ation, fault simulation, and fault tolerance. Kochte tute of Electronics, Information and Communication
has a Diploma in computer science from University of Engineers (IEICE), and the Information Processing
Stuttgart. He is a Student Member of the IEEE. Society of Japan (IPSJ).
Kohei Miyase is an Assistant Professor at Kyushu Laung-Terng Wang is a Founder and CEO of
Institute of Technology, Fukuoka, Japan, where he SynTest Technologies, Sunnyvale, CA, USA. His re-
has been since 2007. His research interests include search interests include DFT, ATPG, logic and mem-
design for testability, low power test, and fault diag- ory BIST, scan compression, fault diagnosis, and
nosis. Miyase has a PhD from Kyushu Institute of soft-error resilience. Wang has a PhD in electrical
Technology (2005). He is a Member of the IEEE. engineering from Stanford University, Stanford, CA,
USA. He is a Fellow of the IEEE.
Seiji Kajihara is a Professor at Kyushu Institute of
Technology, Fukuoka, Japan, where he has been h Direct questions and comments about this article
since 1996. His research interests include test to Yuta Yamato, Nara Institute of Science and Tech-
generation, delay testing, and design for testability. nology, Nara 630-0192, Japan; [email protected].