Top 100 DV Interview Questions
Top 100 DV Interview Questions
VERIFICATION
HANDBOOK
All in One : Top 100 Essential
Interview Questions
Prasanthi Chanda
Top 100 Design Verification
Interview Questions
1. What is the difference between functional verification and
formal verification?
property p_req_ack;
@(posedge clk) req |=> ##[1:5] ack;
endproperty
assert property(p_req_ack);
14. What are X-propagation issues, and how do you debug them?
18. How do you debug a design that fails in simulation but works in
FPGA?
interface bus_if;
logic clk, reset;
logic [31:0] data;
endinterface
25. Explain the use of modport in SystemVerilog interfaces.
Concurrent Assertions:
Evaluated over multiple clock cycles.
Used for protocol and temporal checks.
property p_handshake;
@(posedge clk) req | => ack;
endproperty
assert property (p_handshake);
Immediate Assertions:
Evaluated instantly within the same simulation time step.
Used for combinational checks.
property p_handshake;
@(posedge clk) req |=> ##[1:3] ack;
endproperty
assert property (p_handshake);
43. Explain the disable iff construct in SystemVerilog assertions.
property p_stable;
@ ( p o s e d g e c l k ) d i s a b l e i f f ( r e s e t ) ( a = = b);
endproperty
assert property (p_stable);
Keyword Purpose
assert Checks design correctness in simulation
property p_transaction;
@(posedge clk) req && ack;
endproperty
cover property (p_transaction);
46. What are layered assertions, and when are they used?
covergroup cg;
coverpoint opcode;
coverpoint operand;
cross opcode, operand;
endgroup
51. How do you implement covergroups in SystemVerilog?
52. What are illegal bins in coverage, and how do they help?
coverpoint opcode {
illegal_bins illegal_values {4'b1111}; // Illegal opcode
}
covergroup protocol_cg;
coverpoint req;
coverpoint ack;
cross req, ack;
endgroup
if (cg.get_coverage() ==100)
$display(“Coverage complete!”);
initial begin
$dumpfile(“dump.vcd”) ; // specify file
$dumpvars(0, testbench); // Dump all signals
end
$dumpfile(“wave.vcd”);
$dumpvars(0, testbench);
+91- 9182280927