computation-13-00064
computation-13-00064
Abstract: Photovoltaic (PV) energy is gaining traction due to its direct conversion of
sunlight to electricity without harming the environment. It is simple to install, adaptable
in size, and has low operational costs. The power output of PV modules varies with solar
radiation and cell temperature. To optimize system efficiency, it is crucial to track the
PV array’s maximum power point. This paper presents a novel fixed-point FPGA design
of a nonlinear maximum power point tracking (MPPT) controller based on synergetic
control theory for driving autonomously standalone photovoltaic systems. The proposed
solution addresses the chattering issue associated with the sliding mode controller by
introducing a new strategy that generates a continuous control law rather than a switching
term. Because it requires a lower sample rate when switching to the invariant manifold, its
controlled switching frequency makes it better suited for digital applications. The suggested
algorithm is first emulated to evaluate its performance, robustness, and efficacy under a
standard benchmarked MPPT efficiency (η MPPT ) calculation regime. FPGA has been used
for its capability to handle high-speed control tasks more efficiently than traditional micro-
controller-based systems. The high-speed response is critical for applications where rapid
adaptation to changing conditions, such as fluctuating solar irradiance and temperature
levels, is necessary. To validate the effectiveness of the implemented synergetic controller,
the system responses under variant meteorological conditions have been analyzed. The
Academic Editor: Chathura
results reveal that the synergetic control algorithm provides smooth and precise MPPT.
Wanigasekara
Received: 17 January 2025 Keywords: PV system; synergetic control theory; FPGA; MPPT; fixed-point representation;
Revised: 24 February 2025
Xilinx system generator; DC-DC boost converter
Accepted: 25 February 2025
Published: 3 March 2025
energy is so accessible, it seems to be the most popular green energy source. Nevertheless,
the cost of solar electricity remains greater than that of fossil fuels due to the low conversion
efficiency of PV solar systems. PV systems have low efficiency, where the photovoltaic
generator (PVG) converts light into electricity with about a 12 to 20% efficiency [1,2]. Losses
occur during the transmission of energy from the solar panel to the load unit. These losses
can be attributed to a variety of factors, including fluctuating load values, nonlinear power-
voltage characteristics of the photovoltaic generator (PVG), and mismatches between the
load and the PVG. The goal is to keep the photovoltaic system running as efficiently as pos-
sible by detecting the maximum power point always and reducing oscillation significantly
to avoid the issues that are often associated with regular MPPT algorithms. Therefore,
improving the maximum power point tracking (MPPT) strategy is one practical method to
boosting the energy conversation efficiency [3].
In recent times, a substantial number of MPPT algorithms, characterized by varying
levels of efficacy and complexity, have been devised and presented in the scientific commu-
nity. These algorithms have been designed to optimize performance, and their development
reflects the ongoing advancements and diverse approaches in the field. The first algorithms
were based on measuring voltage and current, which were thought to be straightforward
but unreliable; their disadvantage was that power loss resulted from PV module shorting
and opening circuiting in order to measure voltage and current, respectively, for reference
as a crucial step [4]. Among other conventional MPPT approaches and more complicated
instances are as the perturb and observe (P&O), incremental conductance (IC), and the hill
climbing (HC), which continuously track and determine the MPP direction by detecting
the variation in the PV module power due to the PV module voltage small change or
perturbation employed by the control strategy. These control methods are widely used
since they are simple and easy to implement, but they have also same limitations: they are
vulnerable to facing variant atmospheric conditions and fail under fast-varying climatic
conditions, which causes system instability [5,6]. Conversely, certain MPPT approaches
perform well in both static and dynamic states, while they require certain information
and the understanding of some subjects, like fuzzy logic and neural networks [7], particle
swarm optimization [8], etc.
AI-based MPPT techniques outperform conventional methods such as perturb and
observe (P&O) and incremental conductance (INC) by dynamically adapting to rapid
changes in irradiance and temperature without causing oscillations around the MPP [9].
Traditional MPPT algorithms often suffer from trade-offs between tracking speed and
steady-state stability, leading to energy losses in fluctuating conditions. In contrast, AI-
driven MPPT methods can predict optimal operating points by analyzing historical and
real-time data, allowing them to make intelligent decisions that enhance energy conversion
efficiency. However, AI-based MPPT techniques, such as artificial neural networks (ANNs)
and fuzzy logic controllers (FLCs), require extensive real-time computations to accurately
track the maximum power point (MPP) under varying environmental conditions [9].
Of all the methods mentioned above, the sliding-mode control (SMC)-based MPPT
algorithm is one of the most significant due to its advantages, which include stability, resis-
tance to fluctuation in parameters, quick dynamic reaction, and ease of implementation [10].
Sliding-mode control theory is mostly used to drive the electrical power converter systems
which constitute variable structure design [11]. Furthermore, some of those techniques
have been utilized by PV systems, primarily to control the amount of current fed into the
grid. Ref. [12] has addressed a sliding-mode current-based MPPT strategy that is based on
a combination of a standard P&O MPPT technique and an SMC. In this work, SMC is used
by Bianconi et al. to synchronous boost in order to control the input capacitor current to
the current reference that is acquired by P&O [12].
Computation 2025, 13, 64 3 of 23
It is well known that the SMC nonlinear approach has high performance attributes
including resilience, fast dynamic response, and simplicity of implementation . How-
ever, the chattering problem, which results in undesired oscillations, is its primary
disadvantage [13].
All of these challenges, particularly the oscillation behavior, resilience, and speed of
the MPPT in tracking the ideal power, which was sparked by the aforementioned study,
have directed efforts to enhance the PV system’s performance. One of the most attractive
and reliable control strategies, called synergetic control theory (SCT), is recommended to
accomplish this aim. Synergetic control theory is one of the recently evolved technologies
used to solve the previously mentioned problems. It is a nonlinear control-based strategy
that was first initiated by Kolesnikov [14]. Synergetic control reduces chattering more
effectively than sliding-mode control. Chattering is a common issue in sliding-mode control,
causing high-frequency oscillations that can lead to wear and tear on the system. Synergetic
control, on the other hand, provides smoother control signals, which helps to minimize
chattering and needs less filtering. However, it is well-suited for digital implementation
due to its analytical nature. This makes it more practical for modern control systems, which
often rely on digital controllers. In contrast, sliding-mode control can be more challenging to
implement digitally. In addition, synergetic control provides constant switching frequency
operation, which is beneficial for maintaining system stability and reducing wear on the
switching components. Sliding mode control, by contrast, can have varying switching
frequencies, which can lead to instability and increased wear. Moreover, synergetic control
offers a more direct method for generating control laws, making it more efficient in the
digital design process. This flexibility is valuable in high-performance applications where
speed controllers need to provide not only accuracy but also flexibility and efficiency [15].
In addition to being effective for controlling nonlinear systems, the suggested syn-
ergetic control approach is regarded as a very effective robust control technique [16]. It
eliminates the chattering phenomenon but has the invariance concept of the sliding-mode
control. Recently, it has been proficiently employed in various applications such as the
m-parallel connected DC-DC buck converters [17], in a maximum power point tracking
for a standalone solar power system [2], and in the design of power system stabilizers [18].
In [19,20], the authors used the synergetic control for chaos suppression in different power
systems. The authors of [21] used this theory to control the endocrine glucose–insulin regu-
latory system. On the other hand, the hardware implementation of synergetic control was
proposed using the dSPACE RTI 1104 processor, which is a 64-bit floating-point processor
as given in [22]. The design was used to validate the MPPT controller for autonomous PV
system maximum power extracting.
Field Programmable Gate Arrays (FPGAs) offer a powerful and efficient hardware
solution for implementing complex Maximum Power Point Tracking (MPPT) techniques
in photovoltaic (PV) systems. Unlike traditional micro-controllers and digital signal pro-
cessors (DSPs), FPGAs provide high-speed parallel processing, low-latency computations,
and reconfigurable architectures, making them ideal for handling the complex computa-
tions associated with MPPT applications [23]. By leveraging FPGA hardware acceleration,
the control models can execute with enhanced precision and minimal computational de-
lays, ensuring improved efficiency in energy harvesting compared to conventional MPPT
methods [24].
The integration of MPPT techniques into FPGA platforms also offers scalability and
adaptability for modern PV applications. As energy systems become more complex with
the inclusion of microgrids and distributed energy resources, FPGA-based controllers can
accommodate multiple input variables, optimizing power extraction across different PV
module configurations. Additionally, FPGAs enable hardware-accelerated deep learning
Computation 2025, 13, 64 4 of 23
models for advanced MPPT strategies, allowing for real-time adjustments based on weather
forecasts and grid demand. Unlike fixed hardware controllers, FPGA architectures can
be reprogrammed to support evolving MPPT strategies, ensuring long-term adaptability
without requiring costly hardware replacements [25]. Inspired from the above work,
and noting that none of the previous works on synergistic control theory were implemented
using fixed-point representation on FPGA, this paper proposes the following aspects:
• PV system and DC-DC boost converter modeling.
• Propose a novel FPGA-based controller that employs the synergetic control strat-
egy to track the MPP for a standalone photovoltaic power system under different
meteorological states. The suggested MPPT controller’s primary objective is to con-
currently guarantee system stability at maximum power, strong robustness, and quick
dynamic response.
• The MPPT Synergetic algorithm is designed, investigated, and mathematically ex-
plained in the paper. The elaborated synergetic MPPT algorithm has been imple-
mented both in simulations and using the Xilinx System Generator as a hardware-in-
the-loop platform.
• Fixed-point representations are provided to optimize the FPGA resource utilization
and to achieve timing constraints such as positive worst negative slack (WNS).
• The complicated VHDL code developed from a Xilinx’s blockset design was then
utilized to set up the intended FPGA board. When generating an FPGA programming
file, all downstream FPGA development processes, such as synthesis and place and
route, are carried out, respectively.
This paper outlines the study in five sections. Following this introduction, Section 2
introduces the overall PV system components, mathematical modeling of the PV generator,
and DC-DC boost converter. In Section 3, different aspects and derivation of the synergetic
control theory are described. In Section 4, the implementation procedure and the simulation
results are given in addition to the FPGA hardware requirements. The main conclusions
and discussion inspired from this work are provided in Section 5.
L
A
L
O
V
C1 C2 A
D
IPV
SCT d
VPV MPPT
FPGA
Figure 1. Photovoltaic system block diagram.
Id Ish
The general mathematical representation of the PV cell is provided using the following
Equation [26]:
I = I ph − Id − Ish (1)
where I ph denotes the photo current, Id defines the diode current, and Ish a shunt current.
The solar photo current mathematical model can be given as follows:
I ph = Gk Isc + k I Top − Tref (2)
The current of the shunt resistor branch Ish is evaluated by the following model:
Computation 2025, 13, 64 6 of 23
Vpv + I pv Rs
Ish = (3)
Rsh
The Id that stands for the current passing through the model diode shown in Figure 2
is given by the following mathematical equation:
Vpv + RS I pv
Id = Is exp q −1 (4)
Vt
The reverse saturation current Is often referred to as leakage current in practical diode
circuits and flows through the diode when it is reverse-biased, meaning the voltage applied
is in the opposite direction to the diode’s forward bias. It increases exponentially with
temperature, as shown in the following formula:
!3
qEg
1 − T1
Top nk Top re f
Is = Irs e (5)
Tre f
qVpv + RS I pv Vpv + I pv RS
I = I ph − Is exp −1 − (6)
Vt RSh
The specifications of the PV array used in this work are detailed in Table 1.
The I-V and P-V characteristics of the photovoltaic cell under constant temperature
and varying solar radiation levels are displayed in Figure 3. Moreover, the PV array’s I-V
and P-V characteristics at fixed irradiance and varying temperature levels are displayed in
Figure 4.
It is evident that the maximum power point of the PV cell exhibits nonlinear prop-
erties. The maximum power point is sensitive to temperature and light intensity. As a
result, when the temperature level drops or the irradiance rises, the PV panel power
increases. Therefore, an appropriate control algorithm is required to guarantee that the
photovoltaic solar system works at its maximum output power point. And this is the
aim of this study.
Computation 2025, 13, 64 7 of 23
6 1 kW/m2
5 0.8 kW/m2
Current (A)
4 0.6 kW/m2
3
0.4 kW/m2
2
0
0 10 20 30 40 50 60 70
Voltage (V)
300 1 kW/m2
200
0.6 kW/m2
150
0.4 kW/m2
100
50
0
0 10 20 30 40 50 60 70
Voltage (V)
Figure 3. I-V and P-Vd electrical characteristics of SunPower SPR-305E-WHT-D module, at differ-
ent irradiance.
5
Current (A)
3
50 oC
2
25 oC
1 0 oC
0
0 10 20 30 40 50 60 70
Voltage (V)
350
300 0 oC
25 oC
250 50 oC
Power (W)
200
150
100
50
0
0 10 20 30 40 50 60 70
Voltage (V)
Figure 4. I-V and P-V electrical characteristics of SunPower SPR-305E-WHT-D module, at differ-
ent temperatures.
Computation 2025, 13, 64 8 of 23
where the parameter d refers to the duty cycle. Assume x1 = IL and x2 = Vdc are state
variables. Then, (7) can be written as follows:
1 1
ẋ1 = VPV − (1 − d) x2
L L
(8)
1 1
ẋ2 = (1 − d ) x1 − x2
C2 RC2
where (8) is the state space’s representation of the considered boost converter. The above
mathematical model (8) can be represented as the following system mathematically:
dx
ẋ = = f ( x, t) + g( x, t)d (9)
dt
h i T h iT
where x = [ x1 x2 ] T , f ( x, t) = L1 (VPV − Vdc ) C12 IL − VRdc , g( x, t) = VLdc − IL
C2 .
L D
IPV = IL IL ID Io
L
VPV O
C1 C2 Vdc
A
PWM D
The DC-DC boost converter has been designed using the parameters listed in Table 2.
ẋ = f ( x, t) + g( x, t)d (10)
where x ∈ Rn is the vector of the nonlinear system states, f ( x, t) ∈ Rn expresses the system
dynamics represented with a smooth nonlinear function, g( x, t) ̸= 0 is the gain of the
control function, and finally the required control input is denoted by d, which should
be ∈ R.
The synergetic control algorithm, which guarantees that the dynamics of the derived
system progress from any initial state to the invariant manifold and then toward the
system (10) origin, is the basis for determining the control vector, d, in practice. The specific
macro variables ψ, often known as aggregated variables, determine the control that is built.
The dynamic evolving of these macro variables ψ, which can be expressed as a function of
the dynamical system’s state variables, needs to be appropriately selected by the designer
and should meet the following evolution constraint [21,27,28]:
T ψ̇ + θ (ψ) = 0 (11)
where T is a specific designer chosen parameter that determines the rate of convergence
speed to the invariant manifold ψ( x, t) = 0 specified by the macro-variable ψ. And θ (ψ) is
defined a smooth differentiable function of ψ that has to be selected, such that [21,27]:
(1) invertible and differentiable;
(2) θ (0) = 0;
(3) θ (ψ)ψ > 0, ∀ψ ̸= 0.
It is clear that if the function θ (ψ) is selected in the form of (12), then the chosen θ (ψ)
guarantees the mentioned constraints.
θ (ψ) = ψ( x, t) (12)
As demonstrated in the literature [2,22], the process mentioned previously may be used
with DC-DC boost converters. The matter at hand is figuring out the control law (duty cycle
d) that the MPPT controller should produce instantaneously, to precisely track the targeted
maximum power point. Assume that the invariant manifold defined as follows (13):
∂PPV
ψ= = 0. (13)
∂IL
Hence,
∂(VPV IL ) ∂V
= IL PV + VPV = 0 (14)
∂IL ∂IL
By chain rule, one can write the following:
dψ dψ dI L
= (15)
dt dI L dt
Computation 2025, 13, 64 10 of 23
Since
dψ ∂V ∂2 V
= 2 PV + IL 2 PV (17)
dIL ∂IL ∂ IL
Combining together the boost converter state space Equations (8) and (17) in Equa-
tion (16) gives the following:
∂2 V
∂VPV 1 1
2 + IL 2 PV V PV − (1 − d)Vdc
∂IL ∂ IL L L
(18)
1 ∂VPV
=− V PV + IL
T ∂IL
The chattering problem can be minimized or eliminated since the control rule produced by
the synergetic control theory is continuous rather than a switching term, as shown by (19).
The asymptotic stability of the PV solar system with the synergetic-based MPPT
algorithm is achieved by employing a Lyapunov function candidate, which serves as a
mathematical tool to analyze the stability properties of a dynamical system. By constructing
a suitable Lyapunov function, it is possible to demonstrate that the system’s trajectories
converge to an equilibrium point over time. This approach involves showing that the
Lyapunov function is positive definite and its derivative along the system’s trajectories is
negative definite, thereby ensuring that the system’s state asymptotically approaches the
desired equilibrium.
Theorem 1. Consider the nonlinear DC-DC boost converter with a PV module standalone power
system (8); the system will converge to the invariant manifolds ψ = 0 under the action of the control
laws (19). And the PV system will track the MPP accurately.
1 2
W= ψ (20)
2 1
then, the time derivative of W is as follows:
Ẇ = (ψψ̇) (21)
consequently, we have:
1
Ẇ = − ψ2 ≤ 0 (23)
T
Therefore, Ẇ ≤ 0.
Computation 2025, 13, 64 11 of 23
Figure 6 shows the flowchart of this algorithm and provides a systematic represen-
tation of its step-by-step operation, illustrating the key steps, beginning by voltage and
current measurement, power computation, synergetic control law application, and duty
cycle adjustment for the DC-DC converter. By following this structured approach, the syn-
ergetic MPPT ensures optimal energy harvesting under varying environmental conditions,
making it a reliable solution for PV applications.
Start
Measure
Compute Power
No
Yes
Return
4. Implementation Aspects
This part presents the outcomes of the simulation experiments, which were designed
to evaluate the performance of the proposed MPPT synergetic controller system under
different scenarios. Experiments were designed to test various scenarios and parameters
to understand their impact on the system’s performance. The results are categorized
and discussed in detail to highlight key findings and trends. The aims through these
simulations were to provide a comprehensive evaluation of the proposed MPPT synergetic
controller, as shown in Figure 1, under different conditions, thereby offering insights into
its robustness, efficiency, and potential areas for improvement.
arithmetic due to the nature of the algorithms and the required precision. Fixed-point
representation allows designers to choose the exact number of bits for the integer and
fractional parts, providing custom precision tailored to the application’s needs.
A prevalent signed fixed-point encoding notation is Qm.n, which provides m integer
bits, n fractional bits, and 1 sign bit. Its accuracy is 2−n , and its corresponding limit is
between −2m and 2m − 2−n . In this work, a Q16.16 fixed-point data format is used to
represent VPV , IPV , and Vdc signals of the PV system.
To determine the appropriate fixed-point representation for a signal, we started by
analyzing the signal’s characteristics. We identified the dynamic range by finding the mini-
mum and maximum values of the signals inside that the MPPT synergetic controller can
take, and assessed the precision requirements. This initial analysis helped us understand
the needs of our signal processing task.
Choosing the total number of bits, or word length, for the fixed-point representation,
requires balancing precision, dynamic range, and resource usage. We allocated bits between
the integer and fractional parts. For the integer part, we had to ensure enough bits to cover
the entire dynamic range of the signal, where the number of integer bits n should satisfy
2n ≥ max(absolute value of signal). The remaining bits were used for the fractional part,
increasing precision but reducing the dynamic range.
Figure 7. The overall PV system design and the synergetic control-based MPPT algorithm.
Figure 9a shows the output power under the standard climate condition SCC. The re-
sults confirm a good performance and high effectiveness for the suggested controller, in
both steady and transient states. Obviously, it is noted in a transient state that the proposed
synergetic algorithm ascertains fast convergence to the MPP and the operating point moves
on the synergetic manifold in the correct direction. At the same time, the manipulated vari-
able or the duty cycle of the proposed technique converges to the optimal value in limited
time as, shown in Figure 9b, and the aggregated synergetic variable is maintained very
close to zero, as shown in Figure 9c. This will guarantee the ability to reach the optimum
point (dPpv /dI pv = 0). A zero macro-variable indicates stable system operation, and the
system is operating at its desired equilibrium point, which is aligned with a maximum
power output from the PV array. Moreover, in a steady state, once the output power of the
PV system is maintained at the maximum, a significant reduction in the oscillation around
the MPP appeared and, as a result, the power extracted using the synergetic approach was
much larger. In addition, the PV system voltages and currents are given in Figure 9d.
To examine the energy harvesting performance of the proposed MPPT controller
under irradiance and temperature variations, in the beginning, the temperature remained
constant at 25 ◦ C during the first 2 s, as shown part in Figure 10b, while the irradiance
levels dropped abruptly, mimicking real-world conditions. This type of change can be
used to simulate sudden changes in weather conditions, such as a cloud passing over the
solar panel or the sudden onset of a shadow. The irradiance level jumps instantaneously
from one value to another. To test the irradiance effect, the irradiance levels reduced from
1000 W/m2 to 500 W/m2 at t = 0.38 s. A smooth ramp increase in irradiance was applied
at t = 1 s to recover the original 1000 W/m2 . This change represents a gradual rise in the
intensity of sunlight over a period of time. This type of change can be used to simulate the
natural increase in sunlight during sunrise or the gradual clearing of clouds. The irradiance
level increases linearly from one value to another over a specified duration. After that,
an abrupt change in the temperature was applied by increasing it from 25 ◦ C to 50 ◦ C at
Computation 2025, 13, 64 14 of 23
t = 2 s, while keeping the irradiance at 1000 W/m2 and the load remained the same during
the simulation time. The irradiance and temperature profiles are shown in Figure 10a and
Figure 10b, respectively. The tracking results of these changes are shown in Figure 10.
10 4
12 0.9
0.8
10
0.7
8 0.6
0.5
6
0.4
4
0.3
2 0.2
0.1
0
0
-2 -0.1
0 0.05 0.1 0.15 0.2 0.25 0.3 0 0.05 0.1 0.15 0.2 0.25 0.3
0 1200
1000
-2000
800
-4000
600
-6000
400
-8000
200
-10000 0
-12000 -200
0 0.05 0.1 0.15 0.2 0.25 0.3 0 0.05 0.1 0.15 0.2 0.25 0.3
Figure 9. Standard meteorological conditions test results, where irradiance = 1000 W/m2 and tem-
perature = 25 ◦ C.
The power extracted from the PV generator is shown in Figure 10c; when the irradiance
level sharply changes at time t = 0.38 s, the MPPT synergetic controller can quickly track the
maximum power point at both 1000 W/m2 and 500 W/m2 irradiance levels, respectively.
The same is applicable when applying a ramp variation in the irradiance power at time
t = 1 s. From these result, it is clear to conclude that the developed MPPT synergetic
algorithm is robust to abrupt changes in illumination.
The synergetic macro-variable always lies on the attractor vicinity (ψ = 0), as illus-
trated in Figure 10d. This denotes the robustness of using the new approach. The duty
cycle of the drive signal generated using the proposed FPGA MPPT controller is shown
in Figure 10e. The drive PMW signal applied to the boost DC-DC converter successfully
achieved the control objective of maintaining the movement toward the MPP, despite the
disturbances applied to the irradiance levels and temperature value. Figure 10f illustrates
the output voltage (Vdc ), the PV generator voltage (VPV ), the PV generator current (IPV ),
and the load current (IO ).
All the simulation results above confirm that the control approach based on synergetic
theory is able to ensure output at the optimum point in transient and steady-state conditions
and can provide strong robustness against disturbances in the external conditions without
inversely affecting the output power. Moreover, all the results show that the responses are
chatter-free, with smooth signals.
Computation 2025, 13, 64 15 of 23
1100
1000
900
800
700
600
500
400
300
200
100
0
0 0.5 1 1.5 2 2.5 3
(a) Irradiance.
55
50
45
40
35
30
25
20
15
10
0
0 0.5 1 1.5 2 2.5 3
10
-2
0 0.5 1 1.5 2 2.5 3
-2000
-4000
-6000
-8000
-10000
-12000
0 0.5 1 1.5 2 2.5 3
0.9
0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
-0.1
0 0.5 1 1.5 2 2.5 3
1000
500
-500
-1000
0 0.5 1 1.5 2 2.5 3
100
95
55
50
45
40
of external conditions by continuously adjusting the duty cycle to enable the system to
maximize energy capture from the sunlight.
The average efficiency of extracting power from a photovoltaic (PV) system is re-
markably high, reaching an impressive η MPPT = 98.11%, ensuring that the majority of the
captured sunlight is effectively utilized. This efficiency not only enhances the overall per-
formance of the PV system but also contributes to its sustainability and cost-effectiveness.
With such a high efficiency rate, PV systems can provide reliable and clean energy, reducing
dependence on conventional fossil fuels and mitigating environmental impact. To evaluate
the effectiveness of our proposed MPPT method, a comparative analysis was conducted
against various state-of-the-art techniques reported in the literature as given in Table 3.
The comparison considers key performance metrics, including MPPT tracking time, steady-
state oscillation, and overall efficiency. Our work demonstrates superior performance
in terms of MPPT (Maximum Power Point Tracking) time and steady-state oscillations,
compared to other approaches. The MPPT time in our work is the shortest (0.03 s), outper-
forming methods like FLC-based MPPT (0.30 s) and PSO and hybrid intelligent methods
(>1 s). Additionally, our approach achieves the lowest steady-state oscillation (0.1%), which
is significantly lower than the oscillations seen in other techniques such as PSO (1.6%) and
FLC-based MPPT (1.0%). The use of a Boost converter in our method ensures efficient
power conversion. Furthermore, our MPPT efficiency is 98.11%, which is higher than most
other reported methods. While the FLC-based MPPT achieves 98% efficiency, our approach
still surpasses it. Other techniques, such as Hybrid Intelligent Controller and Feedforward
ANN, exhibit lower efficiencies, exceeding only 91% and 90%, respectively. These results
highlight the effectiveness of our MPPT strategy in achieving faster convergence, reduced
power fluctuations, and higher overall efficiency, making it a promising alternative to
existing solutions.
Table 3. Comparative studies of synergetic MPPT with other MPPT recent implementations.
Steady-State MPPT
DC-DC MPPT
Reference Algorithm Oscillation Efficiency
Converter Time (s)
(%) (%)
[29] FLC-based MPPT Boost 0.3 ±1.0 98.00
[30] Feed forward ANN Boost 0.03 ±0.7 >90.00
[18] PSO Buck >1 ±1.6 97.00
[31] Hybrid intelligent controller Cuk >1 ±0.4 >91.00
Proposed Work Synergetic MPPT Boost 0.03 ±0.1 98.11
The proposed algorithm was designed using the FPGA ZedBoard Zynq xc7z020-
1clg484 Evaluation and Development Kit. The full system with hardware co-simulation
model for the FPGA design is shown in Figures 11 and 12. The JTAG (Joint Test Action
Group) interface, typically used for debugging and programming FPGA devices, is con-
nected to the PC via USB, as shown in Figure 13. In this setup, JTAG acts as a bridge
between the FPGA board and the MATLAB/Simulink environment. When the system
operates, the FPGA continuously reads Vdc , VPV , and IPV signals. These measurements
are transmitted in real-time through the JTAG port. Then, serial samples were returned
to the PC using Simulink/Matlab R2020b. The viewer recorded the output drive PWM
signal. This allowed for real-time testing and verification of the design in a simulated
environment that closely mirrors actual hardware behavior. Overall, this setup lever-
ages the JTAG port for bidirectional communication between the FPGA hardware and
MATLAB/Simulink, enabling thorough testing and validation of the FPGA-based control
systems in real-time applications.
axis_data_fifo_rx
hwc_jtag_axi_transport_0
M_AXIS
axis_dwidth_converter_tx M00_AXIS S_AXIS
axis_data_fifo_tx m_axis_tdata[7:0]
M00_AXIS_TDATA[7:0] s_axis_aresetn
m_axis_tlast
S_AXIS M00_AXIS_TLAST s_axis_aclk
M_AXIS S00_AXIS m_axis_tready
s_axis_tvalid M_AXIS S_AXIS M00_AXIS_TVALID m_axis_aclk
m_axis_tdata[7:0] resetn m_axis_tvalid
s_axis_tready m_axis_tvalid s_axis_aresetn M00_AXIS_TREADY
m_axis_tlast
s_axis_tdata[31:0] m_axis_tready s_axis_aclk jtag_clk
m_axis_tready AXI4-Stream Data FIFO
s_axis_tlast m_axis_tdata[7:0] m_axis_aclk jtag_resetn
m_axis_tvalid
aclk m_axis_tlast
aresetn hwc_jtag_axi_transport_v1_2
AXI4-Stream Data FIFO
axis_dwidth_converter_rx
hwcosim_cmd_proc
sys_clk_wiz
S_AXIS
reset_gen M_AXIS rx_fifo
s_axis_tvalid
reset clk_out1 m_axis_tvalid rx_fifo_tdata[31:0]
dcm_locked s_axis_tready sct_mppt_0
sys_clock clk_in1 locked m_axis_tready rx_fifo_tlast
aclk s_axis_tdata[7:0] tx_fifo
proc_sys_reset m_axis_tdata[31:0] rx_fifo_tvalid
s_axis_tlast axi_lite sct_mppt_s_axi
Clocking Wizard m_axis_tkeep[3:0] rx_fifo_tready
xlconstant_0
aclk sct_mppt_s_axi_awaddr[4:0]
slowest_sync_clk mb_reset m_axis_tlast clk
aresetn sct_mppt_s_axi_awvalid
dout[0:0] ext_reset_in bus_struct_reset[0:0] resetn
sct_mppt_s_axi_awready
aux_reset_in peripheral_reset[0:0]
AXI4-Stream Data Width Converter sct_mppt_s_axi_wdata[31:0]
Constant mb_debug_sys_rst interconnect_aresetn[0:0] hwcosim_cmd_proc_v1_2
aresetn[0:0] sct_mppt_s_axi_wstrb[3:0]
dcm_locked peripheral_aresetn[0:0]
sct_mppt_s_axi_wvalid
sct_mppt_s_axi_wready
Processor System Reset
sct_mppt_s_axi_bresp[1:0]
sct_mppt_s_axi_bvalid
sct_mppt_s_axi_bready
sct_mppt_s_axi_araddr[4:0]
sct_mppt_s_axi_arvalid
sct_mppt_s_axi_arready
sct_mppt_s_axi_rdata[31:0]
sct_mppt_s_axi_rresp[1:0]
sct_mppt_s_axi_rvalid
sct_mppt_s_axi_rready
clk
sct_mppt_aresetn
sct_mppt (Beta)
Figure 12. The inside details of the SCT_MPPT subsystem in Figure 11, implemented on the FPGA
ZedBoard kit.
Figure 13 illustrates the hardware co-simulation involving the FPGA Xilinx ZedBoard,
an essential process in validating and optimizing FPGA designs. This co-simulation in-
tegrates both hardware and software components, demonstrating their interaction and
performance in a real-world scenario. This approach not only confirms the design’s func-
tionality but also ensures compatibility and efficiency across various operational situations,
underscoring its vital role in FPGA development workflows. In addition to the hardware
co-simulation, Figure 13 shows the modeling of photovoltaic (PV) systems and the imple-
mentation of the Maximum Power Point Tracking (MPPT) algorithm in Simulink. The PV
model in Simulink captures the electrical characteristics and behavior of solar panels un-
der diverse environmental conditions, providing insights into their efficiency and output.
The MPPT algorithm, which dynamically adjusts the operating point to maximize power
Computation 2025, 13, 64 19 of 23
extraction, is integrated within this simulation. This combination enables the detailed
analysis and optimization of solar energy systems, ensuring that the PV system operates at
peak efficiency despite variations in sunlight and temperature.
Figure 13. The experimental real-time hardware-in-the-loop implementation of the proposed syner-
getic MPPT algorithm.
Figure 13 further depicts the generation of the output PWM signal used to drive the
boost converter, represented both in Simulink and on the oscilloscope screen. The PWM
(Pulse Width Modulation) signal is crucial for regulating the operation of the boost con-
verter, ensuring that the output power meets the specified requirements. This simulation
showcases the precise timing and duty cycle adjustments necessary for maintaining sta-
ble power levels from variable input sources. Additionally, Figure 13 shows the JTAG
cable connecting the PC to the Xilinx ZedBoard, facilitating seamless communication for
programming, debugging, and testing FPGA designs. This robust connection allows devel-
opers to transfer data and configuration files directly to the ZedBoard, enabling real-time
modifications and diagnostics, thus streamlining the development process and enhancing
the overall design and testing efficiency.
A summary of the system resource utilization, power consumption, and timing con-
straints of the proposed MPPT controller are all shown in Figure 14. Overall, the comprehen-
sive insights offered by the Timing, Power, and Utilization Report in Vivado are invaluable
for achieving an optimal balance between performance, power efficiency, and resource
usage. The FPGA design demonstrates remarkable efficiency across multiple dimensions,
ensuring optimal resource utilization and high performance. The design achieves a bal-
anced use of logic elements, utilizing only 65% of the available capacity. This indicates a
well-structured and optimized logic implementation, minimizing redundancy and maxi-
mizing the functionality within the given resources. The sequential logic, represented by
the flip-flops, is utilized at a moderate 41%, showcasing effective clock management and
Computation 2025, 13, 64 20 of 23
a careful consideration of timing constraints. These metrics highlight our design’s ability
to maintain a high level of complexity without overburdening the FPGA’s logic capacity,
ensuring reliable and efficient operation. Additionally, our design excels in memory and
computational efficiency. With block RAM usage at 65%, we have effectively leveraged
the FPGA’s memory resources to support data-intensive operations while maintaining a
balance that prevents resource exhaustion. The use of DSP slices stands at 23%, indicating
a proficient allocation of these resources for mathematical and signal processing tasks. This
balanced usage demonstrates our design’s capability to handle complex computations and
data manipulations efficiently. Furthermore, the I/O pin utilization at 49% provides ample
room for scalability and future expansion, reflecting thoughtful planning and resource
management. Overall, these metrics collectively underscore the efficiency and robustness
of our FPGA design, positioning it well for both current performance needs and future
growth. The resource utilization metrics suggest that the FPGA implementation is not only
effective but also efficient in terms of hardware usage.
5. Conclusions
On a final note, this work presents a novel FPGA-based implementation of a synergetic
control algorithm for maximum power point tracking (MPPT) in photovoltaic (PV) sys-
tems. The Zedboard Zynq xc7z020-1clg484 development board was used to implement the
design for the real-time evaluation of the developed system. The proposed MPPT model,
which integrates the photovoltaic module, boost converter, SCT algorithm, and PWM
drive signal, has been designed and tested under varying environmental conditions. The
performance evaluation highlights the effectiveness of the FPGA-based synergetic MPPT
controller in tracking the MPP accurately and robustly. A comparative analysis of key
performance metrics—including MPPT tracking time, steady-state oscillation, and overall
efficiency—demonstrates the superior performance of the proposed approach. The FPGA-
based synergetic algorithm significantly reduces MPPT tracking time, minimizes oscilla-
tions around the MPP, and improves the overall energy harvesting efficiency compared to
conventional MPPT techniques. The ability of FPGA to execute high-speed parallel com-
putations enhances the controller’s responsiveness, making it highly suitable for dynamic
environmental conditions. The use of FPGA in MPPT control offers several advantages,
including real-time processing capabilities, low-latency execution, and high computational
efficiency. These benefits make FPGA a promising platform for advanced renewable energy
applications. Looking ahead, AI-driven techniques like machine learning and deep learning
techniques can be leveraged to further optimize the synergetic MPPT algorithm. AI-driven
predictive models can enhance real-time decision making, improving tracking accuracy
and adapting the controller to complex environmental variations. Moreover, extending this
work beyond standalone PV systems, future developments will focus on integrating the
proposed FPGA-based MPPT controller within smart grids and hybrid renewable energy
systems. Additionally, large-scale experimental validation will be conducted to assess the
controller’s feasibility in real-world smart grid applications.
Data Availability Statement: The authors declare that the data supporting the findings of this study
are all available within the article.
Nomenclature
PV Photovoltaic
MPP Maximum power point
MPPT Maximum power point tracking
η MPPT MPPT efficiency
PVG Photovoltaic generator
P&O Perturb and Observe
IC Incremental conductance
HC Hill climbing
SMC Sliding-mode control
SCT Synergetic control theory
FPGA Field-programmable gate array
W NS Worst negative slack (ns)
Computation 2025, 13, 64 22 of 23
References
1. Farh, H.M.; Eltamaly, A.M. Maximum power extraction from the photovoltaic system under partial shading conditions. In
Modern Maximum Power Point Tracking Techniques for Photovoltaic Energy Systems; Springer: Cham, Switzerland, 2020; pp. 107–129.
2. Taissala, A.; Goron, D.; Nisso, N.; Kidmo, D.K.; Ekam, P.S.N.; Mbakop, F.K.; Djongyang, N. An Optimized Synergetic Nonlinear
Controller (OSNC) based maximum power point tracking for a standalone photovoltaic system using a boost converter. Energy
Rep. 2022, 8, 107–122. [CrossRef]
3. Ahmed, J.; Salam, Z. A modified P&O maximum power point tracking method with reduced steady-state oscillation and
improved tracking efficiency. IEEE Trans. Sustain. Energy 2016, 7, 1506–1515.
4. Zegaoui, A.; Aillerie, M.; Petit, P.; Sawicki, J.; Charles, J.; Belarbi, A. Dynamic behaviour of PV generator trackers under irradiation
and temperature changes. Sol. Energy 2011, 85, 2953–2964. [CrossRef]
5. Radjai, T.; Gaubert, J.P.; Rahmani, L.; Mekhilef, S. Experimental verification of P&O MPPT algorithm with direct control based on
Fuzzy logic control using CUK converter. Int. Trans. Electr. Energy Syst. 2015, 25, 3492–3508.
6. Ouberri, Y.; Yatimi, H.; Aroudam, E. Design of a robust sliding mode controller for MPPT based on automation PLC for PV
applications. Int. Trans. Electr. Energy Syst. 2020, 30, e12296. [CrossRef]
7. Salah, C.B.; Ouali, M. Comparison of fuzzy logic and neural network in maximum power point tracker for PV systems. Electr.
Power Syst. Res. 2011, 81, 43–50. [CrossRef]
8. Ishaque, K.; Salam, Z.; Amjad, M.; Mekhilef, S. An improved particle swarm optimization (PSO)–based MPPT for PV with
reduced steady-state oscillation. IEEE Trans. Power Electron. 2012, 27, 3627–3638. [CrossRef]
9. Yap, K.Y.; Sarimuthu, C.R.; Lim, J.M.Y. Artificial intelligence based MPPT techniques for solar power system: A review. J. Mod.
Power Syst. Clean Energy 2020, 8, 1043–1059.
10. Belkaid, A.; Gaubert, J.P.; Gherbi, A. Design and implementation of a high-performance technique for tracking PV peak power.
IET Renew. Power Gener. 2017, 11, 92–99. [CrossRef]
11. Bianconi, E.; Calvente, J.; Giral, R.; Petrone, G.; Ramos-Paja, C.A.; Spagnuolo, G.; Vitelli, M. A fast current-based MPPT technique
based on sliding mode control. In Proceedings of the 2011 IEEE International Symposium on Industrial Electronics, Gdansk,
Poland, 27–30 June 2011; pp. 59–64.
12. Bianconi, E.; Calvente, J.; Giral, R.; Mamarelis, E.; Petrone, G.; Ramos-Paja, C.A.; Spagnuolo, G.; Vitelli, M. Perturb and observe
MPPT algorithm with a current controller based on the sliding mode. Int. J. Electr. Power Energy Syst. 2013, 44, 346–356. [CrossRef]
13. Abdelaziz, A.Y.; Almoataz, Y. Modern Maximum Power Point Tracking Techniques for Photovoltaic Energy Systems; Springer: Cham,
Switzerland, 2020.
Computation 2025, 13, 64 23 of 23
14. Kolesnikov, A.; Veselov, G.; Kolesnikov, A. Modern Applied Control Theory: Synergetic Approach in Control Theory; TRTU: Taganrog,
Moscow, 2000; pp. 4477–4479.
15. Erenturk, K.; Draou, A.; AlKassem, A. Design and comparison of different types of synergetic controllers for islanded DC
microgrids. Sustainability 2022, 14, 8792. [CrossRef]
16. Jiang, Z. Design of a nonlinear power system stabilizer using synergetic control theory. Electr. Power Syst. Res. 2009, 79, 855–862.
[CrossRef]
17. Kondratiev, I.; Santi, E.; Dougal, R.; Veselov, G. Synergetic control for m-parallel connected DC-DC buck converters. In
Proceedings of the 2004 IEEE 35th Annual Power Electronics Specialists Conference (IEEE Cat. No. 04CH37551), Aachen,
Germany, 20–25 June 2004; Volume 1, pp. 182–188.
18. Li, H.; Yang, D.; Su, W.; Lü, J.; Yu, X. An overall distribution particle swarm optimization MPPT algorithm for photovoltaic
system under partial shading. IEEE Trans. Ind. Electron. 2018, 66, 265–275. [CrossRef]
19. Al-Hussein, A.B.A.; Tahir, F.R.; Ouannas, A.; Sun, T.C.; Jahanshahi, H.; Aly, A.A. Chaos suppressing in a three-buses power
system using an adaptive synergetic control method. Electronics 2021, 10, 1532. [CrossRef]
20. Al-Hussein, A.B.A.; Tahir, F.R.; Boubaker, O. Chaos elimination in power system using synergetic control theory. In Proceedings
of the 2021 18th International Multi-Conference on Systems, Signals & Devices (SSD), Monastir, Tunisia, 22–25 March 2021;
pp. 340–345.
21. Al-Hussein, A.B.A.; Tahir, F.R.; Pham, V.T. Fixed-time synergetic control for chaos suppression in endocrine glucose–insulin
regulatory system. Control Eng. Pract. 2021, 108, 104723. [CrossRef]
22. Ayat, R.; Bouafia, A.; Gaubert, J.P. Experimental validation of synergetic approach based MPPT controller for an autonomous PV
system. IET Renew. Power Gener. 2021, 15, 1515–1527. [CrossRef]
23. Ilyas, A.; Khan, M.R.; Ayyub, M. FPGA based real-time implementation of fuzzy logic controller for maximum power point
tracking of solar photovoltaic system. Optik 2020, 213, 164668. [CrossRef]
24. Koutroulis, E.; Kalaitzakis, K.; Tzitzilonis, V. Development of an FPGA-based system for real-time simulation of photovoltaic
modules. Microelectron. J. 2009, 40, 1094–1102. [CrossRef]
25. Prathap, J.A.; Anandhi, T. A novel parallel duty cycle control algorithm for photovoltaic voltage regulator system using FPGA.
Microprocess. Microsyst. 2019, 65, 107–120. [CrossRef]
26. Mars, N.; Grouz, F.; Essounbouli, N.; Sbita, L. Synergetic MPPT controller for photovoltaic system. J. Electr. Electron. Syst. 2017,
6, 232. [CrossRef]
27. Kondratiev, I.; Santi, E.; Dougal, R. Robust nonlinear synergetic control for m-parallel-connected DC-DC boost converters. In
Proceedings of the 2008 IEEE Power Electronics Specialists Conference, Rhodes, Greece, 15–19 June 2008; pp. 2222–2228.
28. Kolesnikov, A.A. Introduction of synergetic control. In Proceedings of the 2014 American Control Conference, Portland, OR,
USA, 4–6 June 2014; pp. 3013–3016.
29. Youssef, A.; El Telbany, M.; Zekry, A. Reconfigurable generic FPGA implementation of fuzzy logic controller for MPPT of PV
systems. Renew. Sustain. Energy Rev. 2018, 82, 1313–1319. [CrossRef]
30. Jyothy, L.P.; Sindhu, M. An artificial neural network based MPPT algorithm for solar PV system. In Proceedings of the 2018 4th
International Conference on Electrical Energy Systems (ICEES), Chennai, India, 7–9 February 2018; pp. 375–380.
31. Vimalarani, C.; Kamaraj, N. Improved method of maximum power point tracking of photovoltaic (PV) array using hybrid
intelligent controller. Optik 2018, 168, 403–415.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual
author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to
people or property resulting from any ideas, methods, instructions or products referred to in the content.