0% found this document useful (0 votes)
36 views

Router1X3 mini project under 32nm

The document outlines a mini project focused on designing and optimizing a 1x3 Router using a 32nm technology node with Synopsys Fusion Compiler. It details the physical design flow, including synthesis, floor planning, power planning, placement, clock tree synthesis, routing, and static timing analysis. Key objectives include achieving efficient floor planning, meeting timing requirements, and optimizing power efficiency and reliability.

Uploaded by

shivarajbalikayi
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
36 views

Router1X3 mini project under 32nm

The document outlines a mini project focused on designing and optimizing a 1x3 Router using a 32nm technology node with Synopsys Fusion Compiler. It details the physical design flow, including synthesis, floor planning, power planning, placement, clock tree synthesis, routing, and static timing analysis. Key objectives include achieving efficient floor planning, meeting timing requirements, and optimizing power efficiency and reliability.

Uploaded by

shivarajbalikayi
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 25

Mini Project – Router 1x3

Technology Node: 32nm

Presented By: Baki Tirupathi


Content
• Project Overview
• Physical Design Flow
• Input to Physical Design
• Synthesis
• Floor Planning
• Power Planning
• Placement
• Clock Tree Synthesis
• Routing
• Static Timing Analysis
• Report
Project Overview

Objective: Design and optimize a 1x3 Router on the 32nm technology node
using Synopsys Fusion Compiler.

Key Focus Areas:


1. Efficient floorplan with minimal congestion.
2. Meet timing requirements (setup, hold, clock skew).
3. Power efficiency and reliability optimization.
Physical Design Flow
The Physical Design flow is the Back End where the RTL is converted into GDS II
Input to Physical Design
• Netlist ( .v): A logical representation of the design, typically in formats like Verilog or
VHDL, that specifies the circuit's connectivity.
• Liberty File (.lib): Contains information about the timing, power, and functional
characteristics of standard cells used in the design.
• Design Constraints File (.sdc): Specifies timing, area, and power constraints for the
design.
• Floorplan and Pin Constraints: Defines the chip's physical dimensions, block
placement, and locations of input/output pins.
• Technology File (.tf): Includes details about the manufacturing process, such as metal
layer stack-up, design rules, and parasitic models.
• Design Exchange Format (.def): Describes the design layout, including floorplan, cell
placement, and routing information.
• Power Intent File (.upf): Specifies the power domains and power management
strategies for low-power designs.
• Table Lookup Plus File (.tluplus): These files are crucial in the backend flow of VLSI
physical design, specifically for parasitic extraction and delay calculation. They provide
interconnect resistance and capacitance values.
Inputs to Physical Design cntd
set TECH_FILE "../../ref/tech/saed32nm_1p9m.tf“ set REFLIB
"../../ref/CLIBs“
set REFERENCE_LIBRARY [join "
$REFLIB/saed32_hvt.ndm
$REFLIB/saed32_lvt.ndm
$REFLIB/saed32_rvt.ndm
$REFLIB/saed32_sram_lp.ndm"

create_lib -technology $TECH_FILE -ref_libs


$REFERENCE_LIBRARY router_top.dlib

analyze -format verilog [glob ../rtl/*.v]


elaborate router_top
set_top_module router_top

source -echo setup.tcl

source ../design_data/mcmm_router_top.tcl
Synthesis
Synthesis is the process of converting the RTL into a gate-level netlist, which consists of
WVGtech Cells.

Input Files: Technology files, Verilog files Output Files: GLN netlist, Sdc
Refernce Libraries
Floor Planning
Floor Planning is the Process where the die area, core area will be specified and also the
macros, standard cells and the I/O ports are placed at this stage.

initialize_floorplan -side_ratio {5 5} -core_offset {10}

shape_blocks

create_voltage_area_shape -voltage_area fsm -region \


{{{98.160 93.600} {113.512 111.992}}} -guard_band {0.6 1 0 0}

set_block_pin_constraints -self -allowed_layers {M2 M3 M4 M5}


place_pins -self
Power Planning
The UPF file defines power-related details such as supply ports, power domains, and level
shifters, where we intent the power details.
Power Planning cntd.
By using Power Domain Network (PDN) we will distribute equal power to all the

cells in the design.


• connect_pg_net

• create_pg_ring_pattern

• create_pg_mesh_pattern

• create_pg_std_cell_conn_pattern

• set_pg_strategy

• compile_pg

source ../router/rtr_pns.tcl
Power Planning cntd.
source –echo router_pns.tcl
Power Planning Reports
Reports of Power and Ground Planning
• Check_pg_drc

• Check_pg_connecitivity

• Check_pg_missing_vias
Placement cntd
• In Fusion Compiler the Placement of cells will be done by using the command
“Compile_Fusion”. Which will do seven steps. Another command is “Place_opt”.

• Place_opt
Placement cntd
Logic_opto Place_opt
Placement cntd
Report_timing Report_timing –delay_type min
Clock Tree Synthesis
Clock Tree Synthesis is a process where we provide the clock to all the sink nodes of the
cells with minimum skew or zero skew.

set CTS_CELLS [get_lib_cells "*/NBUFF*LVT *


/NBUFF*RVT \*/INVX*_LVT
*/INVX*RVT \*/CGL* */LSUP*
*/DFF* "]

set_dont_touch $CTS_CELLS false


set_lib_cell_purpose -exclude cts [get_lib_cells]
set_lib_cell_purpose -include cts $CTS_CELLS

set_app_options -name clock_opt.flow.enable_ccd


-value false
source scripts/cts_include_refs.tcl
source -echo scripts/ndr.tcl
Clock_opt
Clock tree synthesis cntd
Report_timing Report_timing –delay_type min
Routing
• Routing is the process where the interconnections between all the cells will
be made physically at this stage. By using “Route_auto”.

• Route_auto

• Route_opt

• Route_eco
Routing cntd.
After competing the checks of routing, we generate the gds – II file.

Check_routes
Static Timing Analysis
Static Timing Analysis is a method used to evaluate all the paths in a design to confirm
whether they meet the required timing constraints.

Input Files

• .sdc
• .spef
• Routed netlist
• Libraries

Output Files

• Timing Reports
• Eco Files
Reports
Report_ timing Report_timing –delay_type min
Reports
Report_ constraints Report_qor -summary
Reports
Check_lvs

Report_congestion
Reports
Report_design

You might also like