100% found this document useful (2 votes)
7 views

Digital Systems Design Using Verilog 1st Edition Roth Solutions Manual instant download

The document provides links to various solutions manuals for digital systems design and related topics, including Verilog and VHDL. It also contains detailed technical content related to designing with Field Programmable Gate Arrays (FPGAs), including equations and module implementations. The document is a resource for students and professionals seeking to enhance their understanding of digital design concepts.

Uploaded by

seenavellon
Copyright
© © All Rights Reserved
Available Formats
Download as PDF, TXT or read online on Scribd
100% found this document useful (2 votes)
7 views

Digital Systems Design Using Verilog 1st Edition Roth Solutions Manual instant download

The document provides links to various solutions manuals for digital systems design and related topics, including Verilog and VHDL. It also contains detailed technical content related to designing with Field Programmable Gate Arrays (FPGAs), including equations and module implementations. The document is a resource for students and professionals seeking to enhance their understanding of digital design concepts.

Uploaded by

seenavellon
Copyright
© © All Rights Reserved
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 52

Digital Systems Design Using Verilog 1st Edition

Roth Solutions Manual install download

https://testbankfan.com/product/digital-systems-design-using-
verilog-1st-edition-roth-solutions-manual/

Download more testbank from https://testbankfan.com


We believe these products will be a great fit for you. Click
the link to download now, or visit testbankfan.com
to discover even more!

Digital Systems Design Using VHDL 3rd Edition Roth


Solutions Manual

https://testbankfan.com/product/digital-systems-design-using-
vhdl-3rd-edition-roth-solutions-manual/

Advanced Digital Design With The Verilog Hdl 2nd


Edition Ciletti Solutions Manual

https://testbankfan.com/product/advanced-digital-design-with-the-
verilog-hdl-2nd-edition-ciletti-solutions-manual/

Digital Design With an Introduction to the Verilog HDL


VHDL and SystemVerilog 6th Edition Mano Solutions
Manual

https://testbankfan.com/product/digital-design-with-an-
introduction-to-the-verilog-hdl-vhdl-and-systemverilog-6th-
edition-mano-solutions-manual/

Fundamentals of Logic Design 7th Edition Roth Solutions


Manual

https://testbankfan.com/product/fundamentals-of-logic-design-7th-
edition-roth-solutions-manual/
Digital Design 5th Edition Mano Solutions Manual

https://testbankfan.com/product/digital-design-5th-edition-mano-
solutions-manual/

Communication Systems Analysis and Design 1st Edition


Stern Solutions Manual

https://testbankfan.com/product/communication-systems-analysis-
and-design-1st-edition-stern-solutions-manual/

Digital Signal Processing using MATLAB 3rd Edition


Schilling Solutions Manual

https://testbankfan.com/product/digital-signal-processing-using-
matlab-3rd-edition-schilling-solutions-manual/

Microwave Circuit Design A Practical Approach Using ADS


1st Edition Yeom Solutions Manual

https://testbankfan.com/product/microwave-circuit-design-a-
practical-approach-using-ads-1st-edition-yeom-solutions-manual/

Digital Design and Computer Architecture 2nd Edition


Harris Solutions Manual

https://testbankfan.com/product/digital-design-and-computer-
architecture-2nd-edition-harris-solutions-manual/
Chapter 6: Designing with Field Programmable Gate Arrays
6.1 (a) 4 Cells, if N is used as the clock enable. When N = 1 then
X0+ = S' D0 + S X1 (3 variable function) (two 3 variable functions
X1+ = S' D1 + S X2 (3 variable function) will fit into one cell)
If the clock enable is not used each bit requires a separate cell: 8 cells total.
X0+ = N S' D0 + N S X1 + N' X0 (5 variable function)

(b)

(c) X function generator output = X0+ = S' D0 + S X1


Y function generator output = X1+ = S' D1 + S X2

6.2 (a) QA0+ = En (Ld U + Ld' QA0') + En' QA0 = En (X) + En' QA0
QA1+ = En (Ld V + Ld' (QA0  QA1) + En' QA1 = En (Y) + En' QA1

(b)

X = Ld U + Ld' QA0'
Y = Ld V + Ld' (QA0  QA1)

6.3 (a) Q2+ = EN' Q2 + EN (Ld D2 + Ld' Q3)


Q1+ = EN' Q1 + EN (Ld D1 + Ld' Q2)

123
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Q0+ = EN' Q0 + EN (Ld D0 + Ld' Q1)
(b) Two cells

(c) Y = Ld D3 + Ld' Si
X = Ld D2 + Ld' Q3

6.4 (a) The next state equation of Q1 can be implemented using the X function generator with the inputs
R, S, Q1, and Q2. The next state equation of Q2 can be implemented using the Y function
generator with the inputs T, Q1, and Q2. The output P can be implemented using the Z function
generator with the inputs T (C input) and the X function generator.

(b)

6.5 (a) M = S2'S1'S0'I0 + S2'S1'S0I1 + S2'S1S0'I2 + S2'S1S0I3 + S2S1'S0'I4 + S2S1'S0I5 + S2S1S0'I6 + S2S1S0I7

The 8-to-1 MUX can be decomposed into seven 2-to-1 MUXes, and implemented in four Figure
6-1(a) logic blocks.

124
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
M = S2'MX + S2MY
Mx = S1'M1 + S1M2
MY = S1’M3 + S1M4
M1 = S0'I0 + S0I1
M2 = S0'I2 + S0I3
M3 = S0'I4 + S0I5
M4 = S0'I6 + S0I7

The X and Y functions for each block each implement one 2-to-1 mux as labeled:

125
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(b) Three 2-to-1 MUXes (or a 4-to-1 mux) can be implemented in each Figure 6-3 logic block. In
total, three blocks are required to implement seven 2-to-1 MUXes. The X, Y, and Z function
generators for each block implement a 2-to-1 MUX as labeled:

(c) Each function generator used implements a 2-to-1 mux, and has the same LUT contents:
0, 1, 0, 1, 0, 0, 1, 1, 0, 1, 0, 1, 0, 0, 1, 1

(d) Each function generator used implements a 2-to-1 mux


X and Y LUT4s have 0, 0, 0, 0, 1, 1, 0, 0, 0, 0, 1, 1, 1, 1, 1, 1
Z LUT3s have 0, 0, 1, 1, 0, 1, 0, 1 (Consider C as MSB, Y as LSB)

126
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.6 (a) module Figure6_1a(X_in, Y_in, clk, CE, Qx, Qy, X, Y, XLUT, YLUT);
input [1:4] X_in, Y_in;
input clk, CE;
input [0:15] XLUT, YLUT;
inout X, Y;
output Qx, Qy;

reg Qx, Qy;


wire [1:4] X_Index, Y_Index;

initial begin
Qx = 1'b0;
Qy = 1'b0;
end

assign X_Index = {X_in[4], X_in[3], X_in[2], X_in[1]};


assign Y_Index = {Y_in[4], Y_in[3], Y_in[2], Y_in[1]};
assign X = XLUT[X_Index];
assign Y = YLUT[Y_Index];

always @(posedge clk)


begin
if(CE == 1'b1) begin
Qx <= X;
Qy <= Y;
end
end
endmodule

(b) module LUT_Mux(I0, I1, I2, I3, S0, S1, M);


input I0, I1, I2, I3, S0, S1;
output M;

wire Qx1, Qy1, Qx2, Qy2, MM;


wire [1:4] in1, in2, in3;
wire M1, M2, Mout;

assign in1 = {I0, I1, S0, 1'b0};


assign in2 = {I2, I3, S0, 1'b0};
assign in3 = {M1, M2, S1, 1'b0};
assign M = Mout;

Figure6_1a B0(in1, in2,1'b0, 1'b0, Qx1, Qy1, M1, M2,


16'b0101001101010011,
16'b0101001101010011);
Figure6_1a B1(in3, 4'b0000, 1'b0, 1'b0, Qx2, Qy2, Mout, MM,
16'b0101001101010011,
16'b0000000000000000);
endmodule

6.7 (a) module Figure6_3(X_in, Y_in, clk, CE, C, Qx, Qy, X, Y, XLUT, YLUT,
ZLUT,
SA, SB, SC, SD);
input [1:4] X_in, Y_in;
input clk, CE, C;
input [0:15] XLUT, YLUT;
input [0:7] ZLUT;
input SA, SB, SC, SD;
output X, Y;
output reg Qx, Qy;

127
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
initial begin
Qx = 1'b0;
Qy = 1'b0;
end

wire [1:4] X_Index, Y_Index;


wire [1:3] Z_Index;
wire X_int, Y_int, Z_int;
wire MuxA, MuxB, MuxC, MuxD;

assign X_Index = {X_in[4], X_in[3], X_in[2], X_in[1]};


assign Y_Index = {Y_in[4], Y_in[3], Y_in[2], Y_in[1]};
assign Z_Index = {Y_int, X_int, C};
assign X_int = XLUT[X_Index];
assign Y_int = YLUT[Y_Index];
assign Z_int = ZLUT[Z_Index];

assign MuxA = (SA == 1'b0)? X_int : Z_int;


assign MuxB = (SB == 1'b0)? X_int : Z_int;
assign MuxC = (SC == 1'b0)? Y_int : Z_int;
assign MuxD = (SD == 1'b0)? Y_int : Z_int;

assign X = MuxB;
assign Y = MuxD;

always @(posedge clk)


begin
if(CE == 1'b1) begin
Qx <= MuxA;
Qy <= MuxC;
end
end

endmodule

(b) module Code_Converter(X, clk, Z);


input X, clk;
output Z;

wire Q1, Q2, Q3, Zout;


wire [3:0] D_in;
wire T1, T2, T3, T4;

assign in = {X, Q1, Q2, Q3};


assign Z = Zout;

Figure6_3 B0(D_in, D_in, clk, 1'b1, 1'b0, Q3, Q2, T1, T2,
16'b0001111111000000,
16'b0110000001000000, 8'b00000000, 1'b0, 1'b0, 1'b0,
1'b0);
Figure6_3 B1(D_in, D_in, clk, 1'b1, 1'b0, Q1, T3, T4, Zout,
16'b1010001110000000,
16'b1010010110011000, 8'b00000000, 1'b0, 1'b0, 1'b0,
1'b0);
endmodule

6.8 (a) A 4-to-16 decoder requires 16 outputs, and each function needs no more than 4-variables. 8
Figure 6-1 (a) logic blocks are required.

(b) X-Function LUT: 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0


Y-Function LUT: 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0
128
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.9 (a) 4 logic blocks are required, 8 LUT4’s (See Figure 3-6 for truth table).
a = n7 + n6 + n5 +n4
b1 = n5'n4' (n3 + n2)
b = n7 + n6 + b1
c1 = n5 + n4'n3 + n4'n2'n1
c = n7 + n6'c1
d1 = n3 + n2 + n1 + n0
d2 = n7 + n6 + n5 + n4
d = d 2 + d1

(b) F = a, X3 = n7, X2 = n6, X1 = n5, X0 = n4 G = b1, Y3 = n5, Y2 = n4, Y1 = n3, Y0 = n2


X3 X2 X1 X0 F Y3 Y2 Y1 Y0 G
0 0 0 0 0 0 0 0 0 1
0 0 0 1 1 0 0 0 1 1
0 0 1 0 1 0 0 1 0 1
0 0 1 1 1 0 0 1 1 1
0 1 0 0 1 0 1 0 0 0
0 1 0 1 1 0 1 0 1 0
0 1 1 0 1 0 1 1 0 0
0 1 1 1 1 0 1 1 1 0
1 0 0 0 1 1 0 0 0 0
1 0 0 1 1 1 0 0 1 0
1 0 1 0 1 1 0 1 0 0
1 0 1 1 1 1 0 1 1 0
1 1 0 0 1 1 1 0 0 0
1 1 0 1 1 1 1 0 1 0
1 1 1 0 1 1 1 1 0 0
1 1 1 1 1 1 1 1 1 0

6.10 Expanding F around X6 results in 4 variable functions which can be realized using one function
generator each.

F = X6 (X1' X2 X3 + X2 X3' X4' + X2 X3 X4') + X6' (X2' X3' X4 + X2 X3' X4' + X3' X4 X5) + X7
F = X6 (F1) + X6' (F2) + X7

For block one: X LUT has inputs X1, X2, X3, and X4 and realizes F1 = X1' X2 X3 + X2 X3' X4' + X2 X3
X4'.
Y LUT has inputs X2, X3, X4, and X5 and realizes F2 = X2' X3' X4 + X2 X3' X4' + X3' X4 X5

For block two: X LUT has the outputs of block one’s X LUT (F 1) and Y LUT (F2), X6, and X7 as
inputs. The X LUT realizes F = X6 (F1) + X6' (G1) + X7. The Y LUT is unused.

6.11 Expanding Q+ around U Q results in 4 variable equations which can be realized using one function
generator each.

Q+ = U Q (V' W + X' Y + V W') + U' Q' (V X' Y' + V' Y + X Y + V' X)


Q+ = U Q (Xfunc) + U' Q'(Yfunc)

Mark connections in a manner similar to Problem 6.1’s solution.

For block one: X LUT has inputs V, W, X, and Y and realizes V' W + X' Y + V W'
Y LUT has inputs V, X, and Y and realizes V X' Y' + V' Y + X Y + V' X

129
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
For block two: X LUT has U, Q, and block one’s Xfunc and Yfunc as inputs and realizes
Q+ = U Q (Xfunc) + U' Q'(Yfunc)

6.12 To realize the next-state equations, we need to use at least four Kintex logic slices (Figure 6-13).
One Kintex logic slice is ¼ CLB. Therefore, only 1 CLB is needed.

6.13 One cell. Expanding around X5 results in 4 variable equations which can be realized using one
function generator each and X5 can be used as the C input.

X = X5 (X1' X2' X3' X4' + X1 X2 X3 X4) + X5' (X6 X7' X8' X9 + X6' X7 X8 X9')
Xfunc = (X1' X2' X3' X4' + X1 X2 X3 X4)
Yfunc = (X6 X7' X8' X9 + X6' X7 X8 X9')
Zfunc = X5 (Xfunc) + X5' (Yfunc)

130
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.14

6.15 (a) Expanding Z around Y results in 4 variable equations which can be realized using one function
generator each.
Z = Y (V W' X + U' V' W) + Y' (V W' X + T V' W)
Z = Zfunc = Y (Xfunc) + Y' (Yfunc)
131
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Implement internal logic cell connections in a manner similar to Problem 6.12 Solution with U,
V, W, and X as inputs to the X-function generator, T, V, W, and X as inputs to the Y-function
generator and Y as the C input.

(b) The original equation can be implemented as follows:

Block 1: X-LUT has inputs U, V, W, X and realizes V W' X + U' V' W


Y-LUT has inputs T, V, W, X and realizes V W' X + T V' W

Block 2: X-LUT has Y and Block 1’s Xfunc and Yfunc as inputs and realizes Z = Y (Xfunc) + Y'
(Yfunc)
Y-LUT is unused

6.16 F = X6 (X1' X2 X3' X4 + X2' X4' + X3 X4 X5 + X1 X3) + X6' (X2' X3' X4 + X2 X4 + X3' X4 + X1 X3)

6.17 Y = a'b'Y00 + a'bY01 + ab'Y10 + abY11

Y00 = Ya=0,b=0 = cde'f + c'def


Y01 = Ya=0,b=1 = cde'f + cdef ' + c'de'f
Y10 = Ya=1,b=0 = cde'f + cd 'ef '
Y11 = Ya=1,b=1 = cde + cde'f + cdef ' + cd 'e'f

132
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.18 Y = e'f ' Y00 + e'f Y01 + ef ' Y10 + efY11

Y00 = 0
Y01 = abcd
Y10 = a' bc'd ' + b'c'
Y11 = ab'cd + a'bc'd'

6.19 (a) Y = a' (bc'd'e + b'c'e) + a (b'cd'e + b'c'e + bcde) = a' (Y1) + a (Y2)
Y1 = bc'd'e + b'c'e
Y2 = b'cd'e + b'c'e + bcde

(b)

133
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(c)
bcde Y1 (Xfunc) Y2 (Yfunc)
0000 0 0
0001 1 1
0010 0 0
0011 1 1
0100 0 0
0101 0 1
0110 0 0
0111 0 0
1000 0 0
1001 1 0
1010 0 0
1011 0 0
1100 0 0
1101 0 0
1110 0 0
1111 0 1

a Xfunc Yfunc Zfunc


000 0
001 0
010 1
011 1
100 0
101 1
110 0
111 1

6.20 (a) Eight LUTs are required. Each bit of the adder requires one LUT to generate the sum and one
LUT to generate the carry-out.

(b) Four LUT4s are required. Each bit of the adder requires one LUT4 to generate the sum.
Dedicated carry chain logic generates the carry-out.

134
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(c) When Su is 1, the circuit should add a to the 2’s complement of b by inverting each bit of b and
setting bit 0’s Cin to.

Each bit will have the same output function:

Su ai bi Cin Outi
0 0 0 0 0
0 0 0 1 1
0 0 1 0 1
0 0 1 1 0
0 1 0 0 1
0 1 0 1 0
0 1 1 0 0
0 1 1 1 1
1 0 0 0 1
1 0 0 1 0
1 0 1 0 0
1 0 1 1 1
1 1 0 0 0
1 1 0 1 1
1 1 1 0 1
1 1 1 1 0

Outi = Su'ai'bi'Cin + Su'ai'biCin' + Su'aibi'Cin' + Su'aibiCin + Suai'bi'Cin' + Suai'biCin + Suaibi'Cin +


SuaibiCin'

135
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
136
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.21 (a) 14 cells total.

(b) 14 cells total: 6 for adders and 8 for AND gates but propagation delay is less.

6.22 (a) Z = A'(BC 'D ' EF ' + B'C 'E ' F + BC ' E ' F ') + A(B'CD ' E ' F + B'C ' E ' F + BCDE)
Z = A'(Z0) + A(Z1)

Z0 = D'(Y00) + D(Y01)
Y00 = BC ' EF ' + B'C ' E ' F + BC ' E ' F
Y01 = B'C ' E ' F + BC ' E ' F '

Z1 = D'(Y10) + D(Y11)
Y10 = B'C ' E ' F + B'CE ' F
Y11 = B'C ' E ' F + BCE

137
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(b)

138
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.23 (a) No solution available

(b)

(c)

139
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(d)

6.24 The possible functions are bolded below:


i) All 32-variable functions
ii) Some 32-variable functions
iii) All 8-variable functions
iv) Some 8-variable functions
v) All 7-variable functions
vi) Some 7-variable functions
vii) All 6-variable functions
viii) Some 6-variable functions
ix) All 36-variable functions
x) Some 36-variable functions
xi) All 39-variable functions
xii) Some 39-variable functions

6.25 The sequential circuit requires 3 Virtex slices. For the first slice, the G inputs are Q2, C, D, and E (G
= Q2' C D E). The F inputs are Q2, A, B, and C (F = Q2 A B C). The BX input is Q1. Then the X flip-
flop implements the Q1 flip-flop. Also, if the FXA input is 1, the FXB input is 0, and the BY input is
Q1, then the Y flip-flop implements Q2. For the second slice, the G inputs are Q2, A, and B (G = Q2'
A B + Q2' A' B'). The F inputs are Q2, A, B, and C (F = Q2' A B' + Q2 (A' + B + C)). The BX input is
Q1. Then the output to the F5 MUX implements Z1. For the third slice, the G inputs are Q1, Q2, A,
and B (G = Q1 A' + Q1 B + Q2'). Then the Y combinational output implements Z2.

6.26 (a) No solution provided

(b) No solution provided

6.27 Stratix V logic module is similar to Stratix IV logic module, except that there are four flip flops
existing per logic module instead of the two in Stratix IV.

140
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.28

6.29 (a)

(b) module P6_29b(A, N, A_Shft);


input [15:0] A;
input [0:15] N;
output [15:0] A_Shft;

wire [15:0] decoder_out;


wire [31:0] mplier_out;

assign decoder_out = (16'b0000000000000001) << N;


assign mplier_out = A * decoder_out;
assign A_Shft = mplier_out[15:0];
endmodule

141
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
(c)

module P6_29c(A, N, A_Shft);


input [15:0] A;
input [0:15] N;
output [15:0] A_Shft;

wire [15:0] decoder_out;


wire [31:0] mplier_out;

assign decoder_out = (16'b0000000000000001) << (15 - N);


assign mplier_out = A * decoder_out;
assign A_Shft = mplier_out[30:15];
endmodule

6.30 S0: Q0Q1Q2Q3 = 1000, S1: 0100, S2: 0010, S3: 0001

Q0+ = St'Q0 + Q3
Q1+ = StQ0 + K 'M 'Q1 + K 'Q2
Q2+ = MQ1
Q3+ = KM 'Q1 + KQ2
Load = StQ0
Done = Q3
Sh = M'Q1 + Q2
Ad = MQ1

6.31 S0: Q0Q1Q2Q3Q4Q5Q6 = 1000000, S1: 0100000, S2: 0010000, S3: 0001000, S4: 0000100, S5:
0000010,
S6: 0000001

Q0+ = St'Q0 + CQ4 + C 'Q6


Q1+ = StQ0
Q 2+ = Q 1
Q 3+ = Q 2
Q 4+ = Q 3
Q5+ = C 'Q4 + K 'C 'Q5 + CQ5
Q6+ = KC 'Q5 + CQ6
Rdy = Q0
Ldu = StQ0
Lds = StQ0
Ldl = Q1
Ldd = Q2
Sh = Q3 + C 'Q4 + C 'Q5

142
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Su = CQ5 + CQ6
V = CQ4
Cm1 = C 'QnegQ6

For S0 = 0000000, change all instances of Q0 in above equations to Q0':


Q0+ = St' Q0' + CQ4 + C 'Q6
Q1+ = StQ0'
Rdy = Q0'
Ldu = StQ0'
Lds = StQ0'
All other equations unchanged

6.32 S0: Q3Q2Q1Q0 = 0000, S1: 1100, S2: 1010, S3: 1001

To create a one-hot encoding, if Q3 is 0 in the reset state it must be 1 in all other states.

6.33 (a) Q0+ = X2 Q1 + X4 Q3 Z1 = Q0 + Q2


Q1+ = X1 Q0 Z2 = Q1 + Q3
Q2+ = X1' Q0 + X2' Q1 + X3' Q2 + X4' Q3
Q3+ = X3 Q2

(b) 5 Total:
1 Slice: Q0 (one LUT4 and FF), Q1 (one LUT4 and FF)
2.5 Slices: Q2: (each AND term in one half-slice, one half-slice combines 4 product terms, one
FF)
1 Slice: Q3 (one LUT4 and FF), Z1 (one LUT4)
½ Slice: Z2 (one LUT4)

6.34 To ensure proper synthesis, amend the code for Figure 4-15 as follows:
- Within the first process, ensure that all If-Then statements include an Else portion.

6.35 Using the Xilinx ISE, targeted for a Spartan 3 FPGA:

Settings Figure 4-35 Figure 4-40


Goal: Speed 25 Slices 13 Slices
FSM Encoding: Auto 11 Flip-Flops 14 Flip-Flops
47 LUT4s 24 LUT4s
Max Speed: 188.656 MHz Max Speed: 194.714MHz
Goal: Area 25 Slices 13 Slices
FSM Encoding: Auto 18 Flip-Flops 21 Flip-Flops
45 LUT4s 23 LUT4s
Max Speed: 146.307MHz Max Speed: 110.252MHz
Goal: Area 25 Slices 13 Slices
FSM Encoding: One-Hot 18 Flip-Flops 21 Flip-Flops
45 LUT4s 23 LUT4s
Max Speed: 146.307MHz Max Speed: 110.252MHz
Goal: Area 25 Slices 13 Slices
FSM Encoding: Compact 18 Flip-Flops 21 Flip-Flops
45 LUT5s 23 LUT4s
Max Speed: 146.307MHz Max Speed: 110.252MHz

143
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Figure 4-40 uses fewer resources then Figure 4-35, and each synthesis option uses about the same
amount of resources. The solution to this problem may change depending on what synthesis tool
and target device is used.

6.36 (a) A 4-to-1 mux for each bit of b:

(b) Gate network:

A1 A0 B1 B0
0 0 1 1
0 1 1 0
1 0 0 1
1 1 0 1

By inspection, B1 = A1' and B0 = A1 + A0'

6.37 (a) Arithmetic Right Shift register :

(b)

144
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
C1 C0 D1 D0
0 0 1 1
0 1 1 0
1 0 0 0
1 1 - -

D0 = C1'C0'
D1 = C1'

(c)

6.38 (a) Naïve implementation uses an 8-to-1 mux, 3 inverters (for not A), a 3-bit adder, and a 3-bit
register. The arithmetic right shift can be accomplished by feeding in C2C2C1.

An alternate implementation is possible if Co, Ad, and Sh will not become active at the same
time: use 3 tri-state buffers with tri-state controls Co, Ad, and Sh instead of the mux.

(b) The circuit is a basic ALU, with register. If Co is true, A is complemented and loaded into
register C. If Ad is true, A and B are added and loaded into C. If Sh is true, C is shifted right by
1. Sh has the highest priority, followed by Ad, and then by Co. Note that else clauses are not
used.

145
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
6.39 (a) Unoptimized: Two 4-to-1 muxes

Optimized: 1 inverter. Write truth table and reduce as follows:

a1 a0 b1 b0
0 0 1 0
0 1 0 0
1 0 1 1
1 1 0 1

b1 = a0'
b 0 = a1

(b)

6.40

6.41

146
© 2016 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
Exploring the Variety of Random
Documents with Different Content
The Project Gutenberg eBook of Kepponen:
Huvinäytelmä kahdessa näytöksessä
This ebook is for the use of anyone anywhere in the United States
and most other parts of the world at no cost and with almost no
restrictions whatsoever. You may copy it, give it away or re-use it
under the terms of the Project Gutenberg License included with this
ebook or online at www.gutenberg.org. If you are not located in the
United States, you will have to check the laws of the country where
you are located before using this eBook.

Title: Kepponen: Huvinäytelmä kahdessa näytöksessä

Author: Ernst Lundquist

Release date: March 20, 2016 [eBook #51504]

Language: Finnish

Credits: E-text prepared by Tapio Riikonen

*** START OF THE PROJECT GUTENBERG EBOOK KEPPONEN:


HUVINÄYTELMÄ KAHDESSA NÄYTÖKSESSÄ ***
E-text prepared by Tapio Riikonen

KEPPONEN
Huvinäytelmä kahdessa näytöksessä

Kirj.

ERNST LUNDQUIST

Mukailtu suomennos

Haminassa,
Alfred Lagerbomin kustantama,
Kansankirjapainossa 1898.
HENKILÖT:

Kurman, maatilan omistaja. Gerda, hänen tyttärensä. Rouva Malla


Ström, hänen sisarensa ja taloudenhoitajatar. Aksel Franck,
ylioppilas. Tiina, palvelustyttö.

Ensimäinen näytös.

Näyttämö esittää Kurmanin kartanon päärakennuksen edustaa.


Vasemmalla näkyy osa asuinrakennuksen takasivusta. Talo on
vaatimattoman, mutta kodikkaan näköinen yksikerroksinen rakennus,
jonka molemmissa näkyvissä olevissa ikkunoissa ovat ikkunaverhot
ja kukkasia ikkunoilla. Sisäänkäytävä otaksutaan olevan
vastakkaisella puolella, pihapuolella. Seinän vierustalla on
kiikkulauta. Takalistossa näkyy sievä kesäinen maisema järvineen.
Vasemmalla, lähellä takalistoa on iso koivu, jonka alla on omituisen
näköinen sammaleinen kivi. Se on noin parin kyynärän korkuinen ja
niin leveä, että kaksi henkilöä voi hyvin sen päällä istua. Oikealla
näyttämön keskivälillä on kaksi koivua, joitten väliin on kiinnitetty
riippumatto. Koivujen takana on pari vaapukkapehkoa. Näyttämön
edustalla on pieni ympyriäinen pöytä, kaksi puutarhatuolia ja
jakkara.

Ensimäinen kohtaus,

Malla istuu tuolilla ja nukkuu. Hän on noin 50 vuotias ja


puettu pumpulikankaiseen pukuun, päässään valkea myssy.
Hänen olkihattunsa on pöydällä ja sukankutimensa on
pudonnut maahan. Kurman makaa riippumatossa hiljaa
kuorsaten. Nenäliinansa on hän levittänyt kasvoilleen. Gerda
istuu kiikkulaudalla ja ompelee, hyräillen jotain laulua ja
vilkasee toisinaan salaa ikkunaan mutta painaa päänsä alas
kun näkee Axelin kasvot ikkunasta. Tämä katsoo usein
ikkunasta ulos. Tiina tulee nurkan takaa postilaukku
kädessään.

Tiina. Tässä on posti! Se saapui justikään!

Gerda. Kiitos. Pane laukku tuohon pöydälle. Mutta mitähän kello


lie, Tiina?

Tiina. Kohta tulee neljä.

Gerda. Neljä! No sitten on isä maannut liijan kauvan. (Tiina


menee. Gerda nousee ylös, panee työn luotaan, menee ja ottaa
nenäliinan Kurmanin kasvoilta). Herääppäs isä! Kello on neljä.

Kurman (avaa silmänsä ja katselee, mutta ei liikahda paikaltaan).


Ei ole!

(Aikoo ruveta uudelleen nukkumaan).


Gerda. Onpas, sanon minä.

Kurman (unisesti). Minun on vasta neljänneksen yli kaksi.

Gerda (nauraen). No olkoonpa sitten neljänneksen yli kaksi, mutta


ole kumminkin niin hyvä ja anna minulle avainkimppu ennenkuin
nukut uudelleen, jotta saan avata postilaukun.

Kurman (heräten). Vai niin! Onko posti tullut? (Nousee istualle).


No sittenpä pitää havahtua ja katsoa, onko hauskoja kuoleman-
ilmoituksia, sillä muita hauskuuksia ei sanomalehdissä näin
kesäkuumalla juuri ole. (Nousee ylös ja haukottelee hieman). Ho,
hoo! Muutoin oli hyvä, että herätit minut. Näin unta, että pelasin
schakkia pastorin kanssa ja hän teki minusta Matin viisi kertaa
peräkkäin. Sellaisia ilkeitä unia näkee näin kuumalla.

Gerda. Voithan käydä uudelleen makaamaan ja kostaa pastorille,


kun vain ensin avaat postilaukun.

Kurman (ottaen avainkimppunsa). Ei ole mitään kiirettä.


Herätäppäs sinä odottaessasi Malla-täti. Hänhän on maannut yhtä
kauvan kuin minäkin. (Istuutuu tuolille, ottaa laukun luokseen ja etsii
postilaukun avainta avainkimpustaan).

Gerda (puistelee Mallaa kädestä). Herää, täti!

Malla (kuorsaa, eikä liikahda paikaltaan).

Kurman (joka on avannut laukun, panee sen luotaan). Odotappas,


nyt saamme hauskaa. Tiedätkös, mitä helsinkiläiskielellä kutsutaan
siivoamiseksi?

(Nousee ylös).
Gerda. En, vaan eiköhän se ole jotain hullutusta.

Kurman. Aivan oikein. Siivoamiseksi kutsutaan sitä, kun kaikki


huonekalut pannaan nurin narin ja saapaslauta ripustetaan
pellinnauhoihin jonkun toverin luona, joka itse ei ole kotona. (Ottaa
myssyn Mallan päästä). Tässä talossa ei myöskään ole ketään
kotona. (Asettaa myssyn jälleen Mallan päähän, mutta takaperin).
Saatpa nähdä, että hän herää. (Astuu pari askelta taaksepäin ja
tarkastaa Mallaa). Kas niin! Eipä hullumpaa!

Gerda. Millainen veitikka sinä oletkin!

Malla (herää äkkiä, katsoo unisennäköisenä ympärilleen ja sanoo


vihasesti). Miksi siinä seisotte ja katselette minua — ja missä on
sukankutimeni? Taivas varjele! Enhän vain lie nukkunut?

Kurman. Nukkunut? Kuinka voit sellaista luulla? Sinä joka et


milloinkaan makaa — taikka melkein et milloinkaan.

Gerda. Ja kuinka voisi sitä tehdä, kun ovat sellaiset taloushuolet


kuin sinullakin, tätiseni.

Kurman. Ja kun on sellainen sydämen rasvettuminen.

Malla (loukkaantuneena). Sinä tiedät, että minulla on sydämen


rasvettumis-vika, eikä tuo ole olleskaan kauniisti tehty, että sinä,
Matti, puhut siitä niin kevytmielisellä tavalla.

(Nousee ylös).

Kurman (veitikkamaisesti Gerdalle). Kas niin, nyt sattui taas hänen


heikompaan kohtaansa.
Malla. No kuinka sen asian laita oikein on, olenko maannut?

Gerda. Se täytyy myöntää, mutta vakuutan, ettet minuuttiakaan


kauvemmin kuin kaksi tuntia.

Malla. Kaksi tuntia! Ja minun sydänvikani! tunnen, että saan


kauhean yön.

Kurman. Mitä tuohon sydämesi rasvettumiseen tulee, niin onneksi


ei sitä ole vielä kukaan lääkäri huomannut.

Malla. Lääkärikö? Kukahan nyt välittäisi noitten herrojen


lörpötyksistä. Kai minä tunnen itsekin, että —

Gerda. Että sinulla ei ole mitään sydänvikaa, täti rakas, — sinulla


ei ole mitään tautia!

(Taputtaa häntä poskelle).

Malla. Sinä viekastelija! Mutta kun sinä nyt tiesit kuinka vaarallista
makaaminen minulle on, niin miksi et minua herättänyt?

Gerda. Siihen nähden ei minulla ole mitään omallatunnollani. Olen


näet käyttänyt kaikkia luvallisia keinoja, joita ihmiskyky voi keksiä —
ja isä on sen lisäksi käyttänyt luvattomiakin keinoja.

Malla (nostaa sukankutimensa maasta ja istuutuu). Antaa minun


maata niin kauvan, vaikka minulla on vaatteitten pesu ja monellaisia
muita tehtäviä. Mutta mihin toimiinhan piijat ovat ryhtyneet, kun
minä en nyt ole ollut heidän tiellään. Mutta olen varma, että jotain
on minun maatessani pantu ylös alaisin.

Kurman (nauruun purskahtamaisillaan).


Gerda. Miten täti on rypistänyt uuden myssynsä maatessaan.
(Ottaa tätinsä myssyn ja asettaa sen taas oikeinpäin). Kas niin, nyt
se on taas hyvä. (Uhkaa leikillisesti isäänsä, joka on Mallan selän
takana). No isä, oliko postilaukussa mitään?

Kurman. Minä katson.

(Istuutuu pöydän ääreen, aukasee laukun ja ottaa sen


sisällön esiin).

Aksel (ylioppilaslakki päässä, sikari hampaissa ja kirja kainalossa


tulee nurkan takaa ja menee poikki näyttämön,).

Kurman (Akselille). Odottakaas, vähän herra Franck! Täällä on


Teille kirje.

(Jättää kirjeen Akselille, joka lakkiaan kohottaen kiittää


ja poistuu sitten oikealle).

Malla. Vai niin! Hän meni sivuitse. Seuranhaluinen ei hän ainakaan


ole. Näinä kahdeksana päivänä, kun hän on luonamme asunut,
olemme häntä tuskin nähneetkään. Minun mielestäni voisi hän vallan
hyvin hieman seurustella sinun kanssasi, Matti, ja teillä kummallakin
olisi siitä hauskuutta.

Gerda. Mutta tätihän tietää, että hän on asettunut tänne maalle


saadakseen olla rauhassa ja lueskella tutkintoaan varten.

Kurman (joka on aukaissut kirjeen). No tämäpä vasta on


kummallista! Meille oli postissa vain yksi kirje ja sekin nimetön!

Malla. Nimetön kirje!


Kurman. Niin. — "Nimetön" on kirjeen lopussa.

Gerda. Mitäs se merkitsee?

Kurman (joka on lukenut muutamia rivejä). Mitä tuhat tulimaista


tämä on? Tämähän koskee meidän hyyryläistämme!

Gerda. Herra Franckiako?

Malla. No, mutta luehan toki.

Kurman (lukee). "Kunniallisena miehenä ja Aksel Franckin


läheisenä ystävänä katson velvollisuudekseni antaa Teille muutamia
tietoja hänestä, joita hän ei itse ymmärrettävistä syistä ole voinut
antaa."

Malla. Saattepas nähdä, että hän on sellainen, joka ei milloinkaan


maksaa vuokraansa.

Gerda. Hyi, täti. Sellaiselta ei hän olleskaan näytä.

Kurman (jatkaa). "Että hän tuli kiinnittäneeksi huomionsa teidän


ilmoitukseenne, riippui siitä, että siinä puhuttiin hiljaisesta ja
rauhallisesta kotielämästä ja täydellisestä vapaudesta. Hänellä tosin
on mitä tyynein ja rauhallisin luonne, mutta kaikissa tapauksissa
täytyy hänen kanssaan menetellä mitä varovaisimmin ja varjella
häntä kaikellaisesta mielenliikutuksesta."

Malla. Herranen aika. Ehkä hänellä on sydämen rasvettuminen.

Kurman (jatkaa). "Teillä ei kumminkaan ole mitään syytä katua


sitä vieraanvaraisuutta, jota hänelle osotatte, sillä ei kukaan
talossanne tule kärsimään hänen tähtensä mitään ikävyyksiä,
kunhan hän saa olla rauhassa omissa ajatuksissaan niin paljon kuin
mahdollista. Vieraskemut ja yleensä suuremmat seurat ovat hänelle
hyvin vaarallisia. Naisseuraa välttää hän mielellään."

Gerda. Sen olen kyllä huomannut.

Kurman (jatkaa). "Ei pidä antaa hänen huomata, että osotetaan


myötätuntoisuutta häntä kohtaan eikä katsella häntä muulla tavoin
kuin muitakaan kuolevaisia, vaikka ikävä kyllä —"

Malla (kauhistuneena). Mutta eihän hän toki mielenvikainen ole!

Kurman (jatkaa). "Vaikka, ikävä kyllä, hänellä ei ole sitä järjen


määrää kuin meillä muilla normaalitilassa olevilla kuolevaisilla."

Malla (kauhuissaan). Kas niin! Oi Herra Jumala, sellaista surkeutta!

Kurman (jatkaa). "Onneton ystäväni kärsii kumminkin hyvin vähän


tilastaan ja on hyvin luultavaa, ettette edes tule sitä
huomaamaankaan. Osaksi täyttääkseni, mitä oikeus vaatii, osaksi
antaakseni teille tarpeellisia viittauksia, olen teitä näillä riveilläni
vaivannut. — Nimetön." —- Sen minä sanon! Tuo Nimetön olisi
mielestäni saanut antaa omantuntonsa herätä jo hieman
aikaisemmin, nimittäin ennenkuin otimme herra Franckin. Nyt se on
vallan myöhään.

Malla (innokkaasti). Mutta sinähän kai pyydät hänet muuttamaan


jo tänään? Karlssoninhan kumminkin pitää jo huomenaamuna
varhain lähteä asemalle.

Gerda. Niinkö täti arvelee? Ei se käy päinsä. Hänhän on saanut


luvan olla täällä yhden kuukauden.
Malla. Ja me pitäisimme heikkomielistä ihmistä kuukauden talossa!

Gerda. Heikkomielistäkö? Kuka on sanonut, että hän on


heikkomielinen. Minusta hän näyttää yhtä viisaalta kuin joku
toinenkin ja käyttäytyy hyvin, ja kirjeessähän on vain, että hänellä ei
ole sitä mielen tasapainoa kuin —

Kurman. Ja kukapa tässä voi aina pitää pääkallonsa järjestyksessä.


Sitä et voi edes sinäkään, sisar Malla.

Gerda. Sitä paitsi ei nimettömään kirjeeseen voi olleskaan luottaa.


(Katsoo kirjeitä). "Upsala" on postileimassa. Voihan tuon lähettäjä
olla joku ylioppilasveitikka, joka tahtoo huvitella meidän
kustannuksellamme.

Malla. Ei olleskaan! Kyllä se on totta, Jumala paratkoon. Minusta


tuntui jo alusta pitäin siltä, että tuossa miehessä on jotain omituista.
Nuori ylioppilas, joka asettuu maalle asumaan vain yhdeksi
kuukaudeksi ja maksaa kaksin verroin enemmän kuin muut ja
kumminkaan ei tahdo nauttia hauskasta perhe-elämästä, jota hänelle
tarjotaan!

Gerda. Kyllähän se on hieman omituista, että hän tahtoo syödä


huoneessaan ja oleksii niin paljon yksikseen.

Kurman. Kun löytyy kahdeksantoista vuotias tytär talossa, niin —


kyllähän se omituiselta tuntuu.

Gerda. Ja niin iloinen, hauska ja puhelias isäntä sitten.

Malla. Ja oletteko kuulleet, kuinka hän kävelee huoneessaan edes


takasin koko päivät läpeensä. Huh! Oikein on kamala sitä kuulla.
Sellainen tapa, joka on vaan hulluilla, tiikereillä häkissä ja niillä, joilla
on paha omatunto.

Kurman. Mihin luokkaan näistä sinä panet herra Franckin?

Malla. Kaikkeen kolmeen. Ja usein olen kuullut hänen puhelevan


itsekseen.

Gerda. No jonkun kanssa hänen tietysti täytyy puhella, kun ei hän


tee meille sitä kunniata.

Kurman. Minun ajatukseni asiasta on se, että meidän ei tarvitse


olla asiasta huolissamme. Nimetönhän vakuutti tuolla nuorella
herralla olevan mitä rauhallisimman luonteen ja että hän ei tee
kellekään ihmiselle pahaa, kunhan vain saa olla rauhassa. Siksi
voimmekin sopia, että annamme hänen olla rauhassa.

Malla. Niin kyllä. Sinä voit kyllä niin sanoa — kun sinulla ei ole
sydämen rasvettumista. Mutta sen minä vain sanon, että ei ole
hauskaa alituisessa kuolettavassa levottomuudessa, ja tiedänpä
kyllä, miten tässä tulee lopuksi käymään.

Toinen kohtaus.

Edelliset. Tiina (vasemmalta).

Tiina. Rouva ei ole antanut kahvia.

Malla. Me juommekin sen sijasta tänään sahtia, sillä kahvi on liijan


kuumaa tällaisessa paahteessa. Minä tulen kohta sinne.

Tiina (pitkäveteisesti). Vai niin — sahtia — vai niin. Muulloin taas


ei mikään virvota niin hyvin kuin kuppi vahvaa ja hyvää kahvia.
Malla. Tiedän kyllä, että sinä olet aika kahvitäti. Kuuleppas, Tiina!
Etkö ole huomannut mitään omituista herra Franckissa, kun olet ollut
hänelle ruokaa viemässä?

Tiina (hymähtäen). Olenhan minä jotakin huomannut.

Malla (innokkaasti). Mitä sitten?

Tiina. Koko ajan kun minä katan ruokaa pöytään, niin istuu hän ja
sihtaa Gerda-ryökkinää, kun tämä istuu riippumatossaan ja lukee.

Gerda (punehtuen). Mitä sinä lörpöttelet?

Kurman. Ahaa! — Ei, Tiina! Rouva tarkoittaa, että, eikö hänellä ole
tapana lyödä tarjotinta säpäleiksi, eli heittää sokeriastiata sinun
päähäsi?

Tiina. E-ei! Herra jesta kuitenkin. Eihän hän nyt mikään hullu ole.

Malla. Mutta juuri sellainenpa hän onkin.

Tiina (hämmästyneenä). E-ei!

Gerda. Täti tarkoittaa, että hän on hieman — omituinen — hieman


Tiina. Katsokaas, hullu hän ei ainakaan ole. Kun minä ennen


aikaan olin Helsingissä teaatterissa, niin siellä näin minä yhden, joka
oli hullu ja hän näytti vallan kummalliselta. Hänellä olivat pitkät
kampaamattomat hiukset ja olkiseppele päässään, aivan kuin
hevosella, joka on kaupaksi, ja sekös elämöi, niin että oli surkeata
nähdä ja mulkoili silmillään näin (mulkoilee kovin silmillään). E-ei
herra Franck, joka on niin hieno ja kaunis herra, hullu ole. Kyllä hän
on vallan viisas.

Malla. Äläppäs ole asiasta niin vakuutettu. Muutamat hullut ovat


niin kavaloita ja voivat niin oivallisesti teeskennellä. Mutta voipa
tapahtuakin jonain päivänä, että kun sinä teet hänen tilaansa, niin
hän joko sinut kuristaa tai pakottaa sinut syömään laatikollisen
tulitikun päitä.

Tiina. Voi herra jesta, mitä rouva puhuu. Minä otankin pois
tulitikut, niin että hänellä on vain kaksi tikkua päivässä
käytettävänään.

Malla. Ole vain varoillasi, Tiina, ja samoin pitäisi minun mielestäni


meidän kaikkien olla, eikä antaa henkeämme vaaraan. Meneppäs
nyt, Tiina, ja laita kaikki tarjottimella järjestykseen. (Tiina menee
vasemmalle). Mutta Herra armahda, tuoltahan se peto tuleekin.

Kolmas kohtaus.

Edelliset (paitsi Tiina). Aksel (tulee oikealta).

Aksel. Suokaa anteeksi, saisinko puhua jonkun sanan herra


Kurmanin kanssa.

Kurman. Vallan kernaasti. Menemmekö minun huoneeseni eli —

Malla. Ei. Gerdan ja minun pitää kumminkin lähteä sisään


taloushommiin, niin että — (Gerdalle). Me asetumme etukamarin
ikkunan taakse ja katsomme, mitä hän rupeaa tekemään. Ajatteles,
jos hän tekee Matille pahaa.
Gerda. Voi, kuinka täti on lapsellinen!

(Naiset lähtevät, tehden kumarruksen Akselille ja Malla


tekee pitkän kaarroksen, ettei tarvitse mennä hänen
sivuitseen).

Neljäs kohtaus.

Kurman. Aksel.

Kurman. No, mistäs rupeamme puhelemaan? Olkaa hyvä ja


istukaa, niin sujuvat jutut paremmin.

Aksel (panee kirjansa pöydälle ja istuutuu). Se on tosiaankin


kummallinen, melkeinpä sanoakseni vastenmielinen asema, johon
olen joutunut —

Kurman. Toivon, ettette esiinny tyytymättömänä hyyriläisenä ja


että Teillä ei olisi mitään valituksia tehtävänä.

(Käypi istumaan).

Aksel. Ei suinkaan. Jos jollain meistä onkin valittamista toisensa


suhteen, niin en se ainakaan minä ole. (Malla näkyy kuunnellen
ikkunan kautta, jonka hän on avannut raolleen). Minä tulen
ilmiantamaan itseni. Minä olen suuri rikoksellinen. (Malla kirkasee ja
vetäsee ikkunan kiinni). Mutta eihän kukaan keskusteluamme
kuunnelle.

Kurman. (Nousee, menee ikkunan luo ja katsoo että se on


suljettu). Ei! Olkaa huoleti. Sanokaa vain, mitä sydämellänne on.
Aksel. Ensin tahdon Teille tehdä yhden kysymyksen. Olette tänään
saaneet kirjeen, jossa on samallainen käsiala kuin tässäkin?

(Osoittaa hänelle erästä kirjeenkuorta).

Kurman. Kyllä. Sehän käy yhteen! Vai on Nimetön kirjoittanut


Teillekin. Kuka tuo salaperäinen herra oikeastaan on?

Aksel. Hän on hyvä ystäväni ja aika veitikka, mutta muutoin


kunnon poika. Me olemme tehneet sopimuksen, että tänä syksynä
matkustamme yhdessä ulkomaille. Isäni on tänä vuonna tehnyt
erinomaisen edullisia kauppoja ja on sentähden luvannut minulle
oivan matkakassan, mutta ehdolla, että nyt syksyllä suoritan
filosofian kandidaattitutkinnon.

Kurman. No tehkää se sitten.

Aksel. Olen tosiaankin alkanut ankaran taistelun synnynnäistä


laiskuuttani vastaan ja tähän asti olen tehnyt ihmeellisiä urostöitä.
Nyt on minulla enään vain yksi aine jälellä, jos kohta se onkin pahin
nimittäin tietopuolinen filosofiia.

Kurman. Sellaiset pähkinät eivät ole minun purtaviani.

Aksel. Ei, eikä oikein minunkaan, voin vakuuttaa. Jos olisi sitä
ahtanut päivässä kymmenen tuntia päähänsä, niin sittenhän se ehkä
olisi mennyt — mutta silloin ei olisi sopinut Helsingissä olla ainakaan
niin kauvan, kun maa ei olisi niellyt sisäänsä Gambrinia ja Königiä,
sillä katsokaas, ne ovat minun heikkoja puoliani.

Kurman. Voihan tuon jo arvata.


Aksel. Silloin pisti päähäni, mennä asumaan johonkuhun siivoon
perheeseen maalle kesän ajaksi saadakseni oikein rauhassa lukea.
Mutta ystäväni kielsi minua siitä. Hän oli tehnyt saman kokeen
edellisenä kesänä — myös lukeakseen, mutta, kahden kuukauden
aikana ei hän ollut lukenut riviäkään muuta kuin ne pienet kirjelaput,
jotka eräs talon tyttäristä pisti hänen huoneensa avaimen reikään.
"Mutta löytyyhän kai perheitä tyttärittäkin", väitin minä. "Erehdyt
veliseni", vastasi hän, "siivoissa perheissä maalla, jotka ottavat
vuokralaisia, on aina tyttäriä".

Kurman (nauraa). Totta tosiaankin näyttää siltä, ettei hän siinä


suhteessa vallan väärässä olekaan. (Tiinalle, joka tulee näyttämölle).
Mitä sinä tahdot?

Viides kohtaus.

Edelliset. Tiina (vasemmalta tullen, kädessään iso


espanjalainen ruokokeppi, jonka hän ojentaa loitoksi itsestään
ja lähestyy varovasti Kurmania ja pelkää kovin Akselia).

Tiina. Rouva sanoi minulle, että minä menisin ja toisin tämän


kävelykepin patruunalle.

Kurman. Mitä minä sillä teen.

Tiina. Rouva käski minun sanomaan, että patruuna oli unhoittanut


sen saliin.

Kurman. Vai niin, kyllä ymmärrän — ha, ha, haa!

Aksel (hymyilee). Ja luulenpa, että minäkin ymmärrän.


Tiina (poistuu pitkin askelin). Usch, kuinka hän näyttää
sekapäiseltä.

Kuudes kohtaus.

Aksel. Kurman.

Aksel. Ystäväni kirje näyttää tehneen vaikutuksensa.

Kurman. Tiedättekö, mitä siinä oli?

Aksel. Tiedän kyllä. Sainhan häneltä juuri mitä hassunkurisimman


kirjeen, jossa hän kertoo kaikki tyyni. Nähkääs, herra Kurman, kun
olin ollut täällä pari päivää, kirjoitin minä Hermannille, sillä se on
hänen nimensä, — ja kerroin, että viihdyin täällä hyvin, isäntäni on
hauska ja iloinen — — —

Kurman. Kiitän nöyrimmästi.

Aksel. Ja että talon tytär on hyvin herttanen — eli jotain sinne


päin. Herman tuli vallan kauhuihinsa, että minä menisin ja
rakastuisin täällä, tai saisin muutoin hauskaa ja sen johdosta antaisin
palttua luvuille, tutkinnoille ja Pariisin matkalle. Siksi ryhtyi hän heti
kirjoittamaan tuon salaperäisen kirjeen teille. Se on kaunista
ystävyyttä!

Kurman. Mutta hassunkurinen keksintö se tosiaankin oli! Minä


pidän nuorukaisista, jotka tekevät kepposia, sillä se osoittaa, että
heillä on muutakin kun maitoa suonissaan. Vaikka en minä oikein
käsitä mikä tarkotus tuolla oli —
Aksel. Hän tahtoi vetää ikäänkuin suojuksen ympärilleni, jotta
saisin olla rauhassa seura-elämältä sekä kotona että kylässä, jos jo
alusta pitäin herättäisin vastenmielisyyttä talonväessä, niin saisin
häiritsemättä seurustella kirjojeni kanssa. No kuinka hassunkurinen
ja hurja tuo tuuma lieneekin, niin oli se hyvässä tarkotuksessa
sepitetty, enkä oikein voi ollakaan Hermannille vihanen ainakaan
omasta puolestani, mutta että hän on ryhtynyt tekemään niin
sopimatonta pilaa teistä ja rakastettavasta perheestänne, on
anteeksiantamatonta ja siitä annankin hänelle aika läksytyksen,
kunhan tapaamme.

(Nousee seisoalleen, ottaa Kurmanin kepin joka on pöytää


vasten ja kävelee seuraavan keskustelun aikana edes takaisin
keppiä heilutellen. Malla näkyy tuon tuostakin akkunassa).

Kurman. Kyllähän se oli hieman ajattelemattomasti tehty, mutta


eihän tässä mitään vaaraa ole tapahtunut. Malla-sisareni tietysti
hieman säikähtyi —

Aksel. Sitä en olleskaan ihmettele. Mutta tahdotteko olla hyvä ja


kertoa naisille oikean asianlaidan ja sanoa, että minä olen tuon
kepposen suhteen hyvin pahoillani —

Kurman. Jaa, mutta teenkö sen tai en? Siinäpä kysymys onkin.

Aksel (hämmästyneenä). Jos se teistä on ikävä tehtävä, niin on


minun velvollisuuteni luonnollisesti selittää heille —

Kurman. Ei, te väärinymmärsitte minua. Minä arvelen, että he


voivat vallan kernaasti olla erehdyksessään — ainakin jonkun päivän
vielä.
Aksel. Paljon kiitoksia! Luuletteko olevan sen erittäin hauskaa, että
ihmistä pidetään heikkomielisenä?

Kurman. Luulenpa tosiaankin. Meillä täällä maalla on puute


hauskuuksista, ja täytyypä myöntää, että olisi äärettömän hauskaa
nähdä naisteni tekevän pitkiä kierroksia teidän sivuitsenne
mennessään, aivan kuin Tiina äsken — ha, ha, haa! Luulenpa
näkeväni Mallan — ha, ha, haa!

Aksel. Kuulkaas, herra Kurman! Kun kaikki käy ympäri, niin olette
te yhtäläinen vekkuli kuin ystäväni Herman!

Kurman. Niin, tiedättekös, jos hän ja minä joutuisimme yhteen,


niin tulisi meistä pari kunnon veitikkaa. Saatte luvan pyytää hänet
tänne joksikin sunnuntaiksi. Vai ettekö pidä pilanteosta?

Aksel. Siihen en uskalla nyt vastata — ainakaan niin kauvan kuin


lueksin filosofian tutkintoani varten. Mutta sitten, — oh sitten.

(Lyö näppiä).

Kurman (lyö häntä hartioille). Hyvä! Me ymmärrämme toisiamme!


Niin muodoin on siis sovittu, että minä en virka naisille mitään.

Aksel. Vai siinä taas olemme. Minusta on niin epäritarillista —

Kurman. Turhaa puhetta. Sen seikan otan minä vastuulleni. Ja


muutoin sanonkin teille, hra Franck, että se on aivan välttämätön
sotajuoni, jotta te saavuttaisitte tarkotuksenne saada olla rauhassa.
Olen naisteni kuullut usein valittavan, että olette liijan vähän seuraa
rakastava. Gerda tietysti on hieman loukkaantunut siitä, että te ette
ole osoittanut hänelle pienintäkään huomaavaisuutta — mutta
sellaisiahan ne tytöt ovat; pikku tyttöni ei tosin ole liika halukas

You might also like