FPGA
FPGA
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
ENTITY Accumulate_tb IS
END Accumulate_tb;
COMPONENT CHC_Accumulator_U_Accumulate
PORT(
CLOCK : IN std_logic;
DONE : OUT std_logic;
RESET : IN std_logic;
RESET_DONE : OUT std_logic;
START : IN std_logic;
TOTAL_O : OUT std_logic_vector(31 downto 0);
VALUE_I : IN std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal CLOCK : std_logic := '0';
signal RESET : std_logic := '0';
signal START : std_logic := '0';
signal VALUE_I : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal DONE : std_logic;
signal RESET_DONE : std_logic;
signal TOTAL_O : std_logic_vector(31 downto 0);
BEGIN
-- Stimulus process
stim_proc: process
begin
RESET <= '1';
START <= '0';
VALUE_I <= CONV_STD_LOGIC_VECTOR(0,32);
-- hold reset state for 100 ns.
wait for 100 ns;
wait;
end process;
END;