Crack DFT Interviews
Crack DFT Interviews
NVIDIA
SYNOPSYS
QUALCOMM
AMD
INTEL
INFINEON
and Many More. . .
Prasanthi Chanda
Q1: How do you handle unknowns (X values) during scan insertion?
Company : Intel
Answer :
We use X-bounding techniques like X-masking cells, X-safe design, or X-
bounding flip-flops to prevent X propagation to scan outputs. X-sources
are typically tri-states, analog macros, or uninitialized memory blocks.
Answer :
Stuck-at faults assume static 0/1 faults. Transition faults check for timing-
related issues by modeling slow-to-rise or slow-to-fall defects. Transition
fault testing is critical for detecting timing-related manufacturing defects
in deep submicron nodes.
Q3: How do you deal with clock domain crossings during scan
stitching?
Company : AMD
Answer :
Avoid stitching flip-flops across asynchronous domains. Use multiple scan
chains, lockup latches, and maintain clock grouping. CDC paths are tested
separately using functional test or dedicated logic.
Question Asked in Real Interview scenario :
"We have a design with 4 asynchronous clock domains. How will you
ensure proper scan chain integrity and testability?"
Q4: Explain the concept of test point insertion and its effect on
observability and controllability.
Company : NVIDIA
Answer :
Test points (control or observe points) are inserted to improve fault
coverage by increasing controllability of hard-to-reach nodes and
observability of unobservable outputs. ATPG tools suggest locations based
on fault simulation data.
Company : Apple
Answer :
Use scan cell reordering, multi-bit scan chains, gating scan enable, and
retaining state retiming to reduce switching during scan shift. Avoid high
toggling nets using vector-aware ATPG.
Answer :
Scan compression uses test response compactors (MISR) and stimulus
decompressors (LFSR, Xpress). Techniques include time compression, space
compression, and hybrid BIST/DFT approaches.
Answer :
Use Built-In Self Test (BIST) with March algorithms. Memory BIST includes
controller, pattern generator, and comparator. BIST can be integrated
using Memory BIST tools (like MBISTArchitect).
Answer :
Boundary Scan (JTAG, IEEE 1149.1) allows testing interconnects between
chips on a PCB. It's used for board-level testing and debugging using TAP
controllers.
Question Asked in Real Interview scenario :
"In a multi-chip module, we want to test IO connectivity without probes.
How can we do that?"
Answer :
Chain balancing ensures scan chains have equal length, which improves
test performance by reducing skew, scan test time, and power imbalance
during scan shift.
Answer :
Challenges include area overhead, test coverage of random-pattern-
resistant faults, diagnosis capability, and integration of BIST controller with
minimal performance impact.
Q11: What are X-tolerant compactors and why are they needed in
scan compression?
Company : Marvell
Answer :
X-tolerant compactors like MISR with X-mask logic allow partial
compaction even if unknown values (X) are present in some scan outputs.
They avoid masking entire test patterns.
Question Asked in Real Interview scenario :
"We’re using compressed scan with a compactor but observe test escapes
due to Xs. How do you solve it?"
Answer :
Launch-on-capture (LOC): First vector is loaded via scan, second is
applied using functional clock to capture real timing behavior.
Launch-on-shift (LOS): Second transition is created during scan shift.
LOC is more timing-accurate, while LOS is easier to implement but less
realistic.
Answer :
Use clock grouping, separate scan chains per domain, and clock
muxing.
Insert isolation cells and level shifters where required.
Ensure DFT constraints (SDF, clocks, resets) handle domain-specific
behavior.
Answer :
DFT must comply with power domains and retention strategies.
Use power-aware scan stitching, power intent files (UPF), and ensure
scan chains don't cross domains without handling.
Validate with power-aware ATPG simulation.
Answer :
Use DFT testbenches, scan pattern simulations, SDF timing annotation, and
assertions to validate scan chains, reset behavior, and test coverage.
Answer :
Lock-up latches handle clock skew between flip-flops in different clock
domains during scan shift. They prevent data corruption due to hold-time
violations.
Answer :
Common reasons: sequential depth, unreachable logic, X-blockages,
redundant logic.
Fixes: Test point insertion, partial scan, removing redundant logic,
better constraints.
Answer :
Stuck-open faults occur in CMOS pass transistors, especially in domino
logic or transmission gates. Requires two-pattern testing (test + observe)
and is less common in scan-based flow.
Q19: How would you test IOs and pads that are bidirectional?
Company : Analog Devices
Answer :
Use Boundary Scan (JTAG) with bidirectional cell support, or isolate
bidirectional behavior using muxed IO test logic. Some pads may need
functional mode testing.
Question Asked in Real Interview scenario :
"The bidirectional pads are not covered in scan. What’s your strategy to
ensure they’re tested?"
Answer:
Use DFT hooks to enable DC/AC parametric tests.
Use analog BIST (ABIST) or digitally wrapped ADC/DAC test logic.
Apply DFT-on-AMS IPs to bring analog visibility to digital test infra.
Answer :
Use fail logs from ATE, correlate failing vectors with ATPG patterns,
and apply diagnostic tools (like Tessent Diagnosis or Sherlock) to trace
the failure back to a net/FF/gate.
Answer :
Simulate MBIST controller with memory model in DFT sim mode using
March tests, check pass/fail flags, and validate integration with
testbenches + assertion checks.
Question Asked in Real Interview scenario :
"MBIST is passing in RTL sim but failing in gate sim. What could be wrong?"
Answer:
Compressed ATPG patterns reduce test time by reusing a small set of
vectors with decompressors.
They cut pattern count, test data volume, and tester time, significantly
saving test cost.
Answer :
A fault dictionary maps failing responses to potential fault candidates.
Used for post-silicon debug and diagnosis.
Helps narrow down physical fault locations during yield analysis.
Answer :
Hard macro: Pre-characterized, often with pre-inserted DFT (black-box
view).
Soft macro: Synthesizable RTL with DFT insertion possible.
You must treat hard macros as DFT black boxes with wrapper scan
support.
Answer:
Run fault simulation on ATPG patterns to evaluate fault coverage for
various models (stuck-at, transition, bridging).
It highlights untested faults, helps with pattern efficiency analysis.
Answer:
Use DFT abstraction (black-box wrappers) for lower blocks, perform
modular ATPG, and integrate at top with scan chain concatenation or
retargeting using tools like TestMAX or Tessent.
Question Asked in Real Interview scenario :
"We’re taping out a 10M-gate SoC with 8 blocks. How will you handle ATPG
and scan stitching efficiently?"
Answer:
A test collar is wrapper logic around a hard macro or analog IP that
allows integration into scan flow.
It usually includes test enable muxes, scan wrappers, and output
observe logic.
Answer:
Logic Built-In Self-Test (LBIST) enables in-field, at-speed logic testing
using pseudo-random patterns from an LFSR, analyzed using a MISR.
Used in automotive, aerospace, and mission-critical designs for self-
test.
Answer:
Stuck-at fault simulation: Applies patterns and checks how many faults
are detected.
Fault grading: Evaluates a pattern set against a fault list, showing what
% is covered, and where to improve.
Used to validate ATPG quality.
Answer :
Implement a test mode controller that arbitrates scan enable, test
enable, and reset signals across IPs.
Use test modes isolation muxes and define safe test modes per IP.
Q32: What is the role of constraints in ATPG and how do you define
them?
Company : Synopsys
Answer :
Constraints guide ATPG to avoid false paths, uncontrollable signals,
and X sources.
Include reset values, blackboxes, clocking rules, and known unknowns
(X) to improve realistic test generation.
Answer :
Scan shift power is dynamic power during scan shifting due to toggling
of scan elements.
Reduce it using low-power scan (LPS), shift ordering, clustering, and
multi-cycle shift.
Answer:
Stuck-at: Tests static logic faults using single vector per fault.
Transition fault: Tests delay faults using two vectors (launch & capture).
Requires timing-aware ATPG.
Q35: What is a scan collar and how is it different from a test collar?
Company: TSMC
Answer:
A scan collar wraps the core logic around I/O cells to integrate them into
scan chains. A test collar wraps an entire IP block (like a macro or
memory).
Scan collar: flip-flops around I/Os.
Test collar: scan wrapper around block.
Question Asked in Real Interview scenario :
"How do you test a soft IP block that has asynchronous I/Os?"
Q36: What is test point insertion and how does it help in DFT?
Company : AMD
Answer:
Insert controllability/observability points in design to make hard-to-
test nodes more testable.
Improves ATPG coverage, reduces pattern count, and resolves
sequential depth issues.
Q37: What is launch-off-shift and what are the major issues with it?
Company : Infineon
Answer:
Launch-off-shift applies second vector while shifting scan; issues:
May not represent real timing
Risk of clock skew & glitches
Hard to correlate to functional behavior
Use only in non-critical paths or when test time is highly constrained.
Answer :
Use LBIST fault simulation on generated PRPG patterns.
Simulate against stuck-at, transition, or bridging faults.
Use tools like Tessent LBIST Analyzer or Mentor LBISTSim.
Q39: What is a bridging fault and how do ATPG tools detect it?
Company : Texas Instruments
Answer:
A bridging fault is modeled as a short between two signals.
ATPG tools simulate dominant/dominant or dominant/AND behaviors
to generate distinguishing vectors.
Answer:
Run early DFT lint, check for scan rule compliance, DFT DRCs
Insert DFT hooks, ensure DFT test modes are architected
Sign off scan chain connectivity, clock/reset muxing, and test logic
gates before freeze
Question Asked in Real Interview scenario :
"The RTL is frozen. Later, DFT violations are seen during synthesis. How do
you prevent this in future projects?"
Q41: What is the difference between logic BIST and memory BIST?
Company : NXP Semiconductors
Answer:
Logic BIST (LBIST): Targets random logic blocks using PRPG/MISR.
Memory BIST (MBIST): Targets memories (RAMs) using march
algorithms like March C-, March LR, etc.
MBIST handles address, data, and control buses, while LBIST works on
combinational/sequential logic.
Answer:
Caused by uninitialized flops, analog blocks, tri-states, or gated clocks.
Fix by:
Initializing X-sources
Masking unknowns in ATPG constraints
Using X-blocking cells or gating clocks correctly
Answer :
CDC in DFT refers to scan chains or test paths spanning multiple clock
domains.
Handled by:
Clock domain grouping
Inserting safe synchronizers or clock muxes
Using asynchronous test modes or retiming scan chains
Answer:
Insert spare scan flip-flops and mux-based bypass paths for rerouting
in case of stuck chains.
Use e-fuses or programmable registers to control routing.
Answer:
Add on-chip decompressors (input) and compressors (output)
ATPG patterns are generated aware of compression architecture (e.g.,
EDT)
Requires tools like Synopsys DFTMAX or Tessent TestKompress
Answer:
A stuck-open fault is when a transistor fails to conduct due to broken
path.
Tested using two-vector sequences to detect missing transitions, often
modeled as bridging or dynamic faults.
Q47: Explain the need for multiple test modes in complex SoCs.
Company : MediaTek
Answer:
Each test mode (e.g., MBIST, LBIST, scan, JTAG, functional test) requires
unique muxing, clocking, and power configurations.
Used to isolate domains, reduce conflicts, and parallelize test.
Question Asked in Real Interview scenario :
"In your SoC, there are 5 test modes. Why not unify them into one test
mode?"
Answer:
Use black-box models with I/O direction, X-handling rules, and timing
exceptions.
Define masking and set known values to prevent X-propagation.
Answer:
Scan only a subset of flops to reduce area/power impact.
Used when full scan is not feasible (e.g., due to area, power, or test
time constraints).
Requires careful selection using controllability/observability analysis.
Answer:
Steps:
Use shift pattern readback
Probe using Scan Diag or LBIST analysis
Cross-check chain order, mux config, stuck-at nets
Analyze clock tree integrity
+91- 9182280927