0% found this document useful (0 votes)
23 views

Fc Commands

The document is a user guide for the Fusion Compiler Tool Commands, detailing various commands and their functionalities. It includes a comprehensive list of commands such as 'add_array_to_macro_group', 'add_attachment', and 'add_buffer', along with their respective page numbers. The guide serves as a reference for users to understand and utilize the tool effectively.

Uploaded by

maithaohaocong
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
23 views

Fc Commands

The document is a user guide for the Fusion Compiler Tool Commands, detailing various commands and their functionalities. It includes a comprehensive list of commands such as 'add_array_to_macro_group', 'add_attachment', and 'add_buffer', along with their respective page numbers. The guide serves as a reference for users to understand and utilize the tool effectively.

Uploaded by

maithaohaocong
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 117

Fusion Compiler Tool

Commands Version W-2024.09,


September 2024
Feedback

Contents

1. Fusion Compiler Tool Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76 a .


. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76
add_array_to_macro_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
add_attachment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .77
add_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
add_buffer_on_route . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
add_command_hook . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .95
add_eco_repeater . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
add_feedthrough_buffers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
add_group_repeaters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
add_lib_cells_to_family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
add_macro_to_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
add_parameter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
add_pins_to_virtual_connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
add_port_protection_diodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
add_port_state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
add_power_state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .117
add_powertap_shield_association . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
add_pst_state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
add_redundant_vias . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
add_shield_association . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .127
add_spare_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
add_state_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
add_supply_state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136
add_tie_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138
add_to_begin_statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .139
add_to_bound . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
add_to_bundle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .141
add_to_case_statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143
add_to_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
add_to_edit_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147
add_to_function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148
add_to_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

2
. . . . . . . . . . . . . . . . . . . . . . . . .184 all_connected . . .
..........................................
Contents
Feedback . . . . . 186 all_corners . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .187
all_dft_partitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 188 all_exceptions . . . . . . . .
add_to_io_guide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 151 add_to_io_ring . . . . . . 189 all_fanin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .190 all_fanout . . . . . . .
. .152 add_to_matching_type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . 153 . . . . .194 all_high_transitive_fanout . . . . . . . . . . . . .
add_to_multisource_clock_sink_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 all_inputs . . .
. . . . . . . . . . . . . . . . . . . . 154 ..........................................
add_to_must_join_ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 all_modes . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 156 add_to_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . all_outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. 157 add_to_net_bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .202 all_registers . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .158 ..........................................
add_to_package_statement . . . . . . . . . . . . . . . . . . . .204 all_scenarios . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 159 add_to_pin_blockage . . . . . . . . . . . . . . . . . . . . . . . . . 206 all_test_modes . .
....................................................................................
. 160 add_to_pin_guide . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 all_transitive_fanin . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 162 . . . . . . . . . . . . . . . . . . . . . . . . . . . . .207
add_to_placement_attraction . . . . . . . . . . . . . . . . . . all_transitive_fanout . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 163 add_to_port_bus . . . . . . . . . . . . . . . . . . . . . . . . 209 analyze . . . . . . . . . . . . .
....................................................................................
. 164 add_to_routing_corridor . . . . . . . . . . . . . . . . . . 211 analyze_datapath_extraction . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 166 add_to_rp_group . . . . . . . . . . . . . . . . . . . . . . . 218
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . analyze_datapath_library_cell . . . . . . . . . . . . . . . . . .
. . . . . .167 add_via_mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .223
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171 alias . . . . . analyze_design_violations . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226
. . . . . . . . . . . 174 align_macros . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .175
align_objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 179 align_pins . . . . . . . . . .
..........................................
. 182 all_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

analyze_lib_cell_placement . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 245 analyze_mv_design . . .
..........................................
.248 analyze_mv_feasibility . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 253
analyze_power_plan . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 261 analyze_rail . . . . . . . . .
..........................................
. 264 analyze_subcircuit . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .273
analyze_timing_correlation . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 280 annotate_trace . . . . . .
..........................................
. 284 append_to_collection . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 286
apply_clock_gate_latency . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 288 apply_power_model . .
..........................................
. 289 apropos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 292 as_collection . . . .
..........................................
. . . . . 294 assign_3d_interchip_nets . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 294
assign_bump_objects . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 297
assign_feedthrough_supply . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 298
assign_redundant_bumps . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .303 assign_tsv . . . . . . . . . .
..........................................
. 304 associate_checkpoint_action . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 306
associate_checkpoint_report . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .309 associate_mv_cells . . .
..........................................
. 312 associate_performance_via_ladder . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 314
associate_supply_set . . . . . . . . . . . . . . . . . . . . . . . .
3 . . . . . . . . . . . . . . . . . . . . 315 attach_drc_error_data
..........................................
. .316 attach_topology_nodes . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . 317 audit_scripts . . .
..........................................
. . . . . . 318 auto_partition_design . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321
b.........................................
. . . . . . . . . . . . . . . . . . . . . . .322
balance_clock_groups . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .322 c . . . . . . . . . . . . . . . . . .
..........................................
. . . . 323 capture_detailed_script_runtime . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 323
capture_qor_data . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 324 change_abstract . . . . . .
..........................................
328 change_link . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 331 change_names . . .
..........................................
. . . . 332 change_pin_arrangement . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 338
change_reference . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 339 change_selection . . . .
..........................................
. 341

4
. . . . . . . . . . . . . . . . . . . . . . . . 401 check_finfet_grid .
..........................................
Contents
Feedback . . . . . 406 check_floorplan_rules . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 407
check_freeze_silicon . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .410 check_frontside_fibs . .
change_view . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 343 characterize_block_pg . 418 check_hier_design . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420
. 347 characterize_memory . . . . . . . . . . . . . . . . . . . . check_host_options . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 349 . . . . . . . . . . . . . . . . . . . .422 check_io_placement . .
characterize_topology_plans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 351 check_3d_design . . . . . . 423 check_isolation_coverage . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .428 check_legality . .
352 check_advanced_boundary_cells . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 358 . . . . . . 431 check_legalizer_sanity . . . . . . . . . . . . . .
check_alignment_marker . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
. . . . . . . . . . . . . . . . . . . 360 check_libcell_pin_access . . . . . . . . . . . . . . . . . . . . .
check_backside_navigation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435 check_license . . . . . . .
. . . . . . . . . . . . . . . . . . . 362 check_boundary_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442 check_lvs . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
.364 check_bufferability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .443
. . . . . . . . . . . . . . . . . . . . . . . .366 check_macro_pin_access . . . . . . . . . . . . . . . . . . . . .
check_bump_spacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .447 check_mib_alignment .
. . . . . . . . . . . . . . . . . . . . 375 check_bump_terminals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 450
. 377 check_busplan_constraints . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .377
check_clock_gate_library_cell_availability . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 380 check_clock_trees . . .
..........................................
. .383 check_consistency_settings . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . 383 check_design . .
..........................................
. . . . . . 385 check_design_for_clock_trunk_planning
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 391
check_design_states . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .392 check_duplicates . . . . .
..........................................
.393 check_empty_space . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 397
check_equivalent_power_domains . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 398 check_error . . . . . . . . .
..........................................
. 400 check_feedthroughs . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

check_mib_for_pin_placement . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 452 check_multibit_library .
..........................................
. 454 check_mv_design . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 458 check_netlist . . . .
..........................................
. . . . . 463 check_netlist_for_3d . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 467
check_objects_for_push_down . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .468 check_pg_connectivity .
..........................................
470 check_pg_drc . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 472
check_pg_elevation . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .476 check_pg_missing_vias
..........................................
477 check_physical_constraints . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .480
check_pin_placement . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 482
check_placement_constraints . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .500
check_pre_pin_placement . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 501 check_pre_place_io . . .
..........................................
502 check_pt_qor . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .503 check_rdl_routes .
..........................................
. . . . .511 check_routability . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 513
check_routes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .522
check_routing_corridors . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 527 check_rp_constraints .
..........................................
. 529 check_sadp_tracks . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 533
check_safety_intent . . . . . . . . . . . . . . . . . . . . . . . . . .
5 . . . . . . . . . . . . . . . . . . . .533 check_scan_chain . . . .
..........................................
.534 check_script . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 536
check_secondary_pg_placement_constraints . . . . . .
. . . . . . . . . . . . . . . . . . . 539 check_shapes . . . . . . . .
..........................................
541 check_signal_elevation . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 544
check_stage_settings . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 545
check_starrc_in_design . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .548
check_supply_equivalence . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 549
check_targeted_boundary_cells . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 551 check_tcd_cells . . . . .
..........................................
. .553 check_timing . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . 554
check_topology_plans . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .560 check_variants . . . . . . .
..........................................
562 check_vclp_design . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 562
classify_lib_cell_attributes . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .564 clock_opt . . . . . . . . . . .
..........................................
. 566

6
. 611 compare_qor_data . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 622 compare_supplies
Contents
Feedback ..........................................
. . . . . 625 compile . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 626
compile_advanced_boundary_cells . . . . . . . . . . . . . .
clone_macro_group_packing . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . 627 compile_boundary_cells
. . . . . . . . . . . . . . . . . . . 568 close_blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 628 compile_fusion . . . . . . . . . . . . . . . . . . . . . . . . . .
570 close_drc_error_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 630 compile_pg . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 572 ..........................................
close_ems_databases . . . . . . . . . . . . . . . . . . . . . . . . . . . . 632 compile_tap_boundary_wall_cells . . . . . . .
. . . . . . . . . . . . . . . . . . . .574 close_lib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 635
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . compile_targeted_boundary_cells . . . . . . . . . . . . . . .
575 close_ml_db . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 636 compute_area . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 578 close_rail_result . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 638 compute_budget_constraints . . . . . . . . . . . . . . .
. . . . 578 collection_to_list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 639
. . . . . . . . . . . . . . . . . . . . . . . . . . . 579 collections . . . compute_clock_latency . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .646
. . . . . . . . 581 color_macro_pins . . . . . . . . . . . . . . . . compute_dff_connections . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 586 . . . . . . . . . . . . . . . . . . . . 649
commit_blackbox_timing . . . . . . . . . . . . . . . . . . . . . . compute_infeasible_path_overrides . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .587 commit_block . . . . . . . . . . . . . . . . . . . . . . . . . . . . 652 compute_polygons . . .
....................................................................................
588 commit_dft_isolation . . . . . . . . . . . . . . . . . . . . . . . 654
. . . . . . . . . . . . . . . . . . . . . . . 592
commit_hier_optimization . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 592
commit_pseudo_bumps . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 593 commit_pseudo_tsvs . .
..........................................
.596 commit_secondary_pg_placement_constraints .
. . . . . . . . . . . . . . . . . . . . . . . 598 commit_upf . . . . . .
..........................................
. . . . 599 compare_app_options . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .600
compare_checksum . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 605 compare_collections . . .
..........................................
606 compare_design_io . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .608
compare_floorplans . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .609 compare_libraries . . . .
..........................................
Contents
Feedback

connect_freeze_silicon_tie_cells . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .656 connect_logic_net . . . .
..........................................
. 659 connect_net . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .661 connect_pg_net .
..........................................
. . . . . .662 connect_pg_via_ladders . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 666
connect_pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 668 connect_power_switch
..........................................
. 670 connect_supply_net . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 675
connect_topology_nodes . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 677 convert_aocv_pocv . . . .
..........................................
678 convert_bump_region_to_freeform . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 679
convert_bump_terminals . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .681
convert_shape_patterns_to_shapes . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 682
convert_shapes_to_shape_pattern . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .683
convert_via_matrixes_to_vias . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .685
convert_vias_to_via_matrix . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .687 copy_block . . . . . . . . . .
..........................................
689 copy_busplan . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 691 copy_collection . . .
..........................................
. . . . 693 copy_floorplan . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .694 copy_lib . . . .
..........................................
. . . . . . . . . 698 copy_module . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .701
copy_objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
7 . . . . . . . . . . . . . . . . . . . . 702
copy_relative_placement . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .706 copy_to_layer . . . . . . .
..........................................
. 707 copy_useful_skew . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .709 cputime . . . . . . .
..........................................
. . . . . . 710 create_3d_mirror_bumps . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 711
create_3d_virtual_blocks . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .715 create_abstract . . . . . .
..........................................
. 716 create_abut_rules . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 725
create_alignment_marker_cell . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 727
create_alignment_marker_rule . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 729
create_always_statement . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 733 create_anchor . . . . . . .
..........................................
. 735 create_annotation_shape . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 741
create_annotation_symbol . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 747 create_annotation_text .
..........................................
752 create_assignment_statement . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 755

8
.814 create_bump_cluster . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .816
Contents
Feedback create_bump_pattern . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 818 create_bump_region . . .
..........................................
821 create_bump_region_pattern . . . . . . . . . . . . . . .
create_backend_tcd_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 828 create_bundle . . . .
. . . . . . . . . . . . . . . . . . . .757 ..........................................
create_backside_navigation . . . . . . . . . . . . . . . . . . . . . . . 831 create_bundles_from_patterns . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 761 . . . . . . . . . . . . . . . . . . . . . . . . . . . 832
create_begin_statement . . . . . . . . . . . . . . . . . . . . . . create_bus_routing_style . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 763 create_blackbox . . . . . . . . . . . . . . . . . . . . . . . . 835 create_busplans . . . . . .
....................................................................................
. 764 create_blackbox_clock_network_delay . . . . . . 837 create_case_statement . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .766 . . . . . . . . . . . . . . . . . . . . . . . .840 create_cell . . . . . .
create_blackbox_constraint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .768 create_blackbox_delay . . . . .841 create_cell_array . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .843
. 770 create_blackbox_drive_type . . . . . . . . . . . . . . . create_cell_array_pattern . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 773 . . . . . . . . . . . . . . . . . . . . 847 create_cell_bus . . . . . .
create_blackbox_generated_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 774 . 849 create_channel_congestion_map . . . . . . . . . . .
create_blackbox_load_type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 851
. . . . . . . . . . . . . . . . . . . .776 create_block . . . . . . . . create_check_design_strategy . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 852
. 777 create_block_cell . . . . . . . . . . . . . . . . . . . . . . . create_checkpoint_action . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .780 . . . . . . . . . . . . . . . . . . . . 854
create_block_pin_constraint . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 782
create_bond_pad_array . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 786 create_bond_pad_def . .
..........................................
789 create_bond_pad_reference . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 791 create_bound . . . .
..........................................
. . . . 792 create_bound_shape . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .798
create_boundary_cells . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 800 create_budget_busplan
..........................................
.806 create_buffer_trees . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 809
create_bump_array . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 811 create_bump_block . . .
..........................................
Contents
Feedback

create_checkpoint_report . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 856 create_clips . . . . . . . . .
..........................................
. 859 create_clock . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 861
create_clock_balance_group . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 864 create_clock_buffer . . .
..........................................
. 865 create_clock_drivers . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 867
create_clock_rp_groups . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 879
create_clock_skew_group . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .881 create_clock_straps . . .
..........................................
882 create_command_group . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 890 create_comments .
..........................................
. . . . 891 create_context_for_sub_block . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 892 create_corner
..........................................
. . . . . . . . 894 create_curved_poly_rect . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .895
create_custom_shields . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 896 create_cut_metals . . .
..........................................
. .897 create_default_topology_plans . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . 897
create_dense_tap_cells . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 900 create_density_rule . . .
..........................................
.905 create_design_rule . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 907
create_dff_trace_filters . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 909 create_dft_netlist . . . .
..........................................
. .911 create_die . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . 912 create_die_block
9 ..........................................
. . . . . . 914 create_differential_group . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .915
create_diodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 918 create_drc_error . . . . .
..........................................
. 920 create_drc_error_data . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .924
create_drc_error_shapes . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 927 create_drc_error_type .
..........................................
.929 create_drc_error_waiver . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 933
create_early_power_switch_cells . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 935
create_eco_bus_buffer_pattern . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 939 create_edit_group . . . . .
..........................................
941 create_ems_database . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .943
create_ems_message . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .944 create_ems_rule . . . . .
..........................................
. 946 create_environment . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .948
create_expression_statement . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 951

10
. . . . . . . . . . . . . . . . . . 1017
create_interposer_routeplan . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . 1020 create_io_break_cells .
..........................................
1034 create_io_corner_cell . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1036
create_exterior_tap_walls . . . . . . . . . . . . . . . . . . . . . create_io_filler_cells . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 952 . . . . . . . . . . . . . . . . . . . 1037 create_io_guide . . . . .
create_failsafe_fsm_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 955 .1040 create_io_ring . . . . . . . . . . . . . . . . . . . . . . . . .
create_failsafe_fsm_rule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1042
. . . . . . . . . . . . . . . . . . . . 958 create_feature_table . . create_keepout_margin . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1045 create_layer . . . . . . . . .
. 961 create_fill_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 964 .1049 create_left_right_filler_cells . . . . . . . . . . . . . . .
create_for_statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1051
. . . . . . . . . . . . . . . . . . . 965 create_length_limit . . . . . . . . . . . . . . . . . . . . . . . . . . .
create_foreach_statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1054 create_lib . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . .967 create_frame . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1055 create_libset . . . . . . . . . . . . . . . . . . . . . . . . . .
. 968 create_freeze_silicon_leq_change_list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1060 create_logic_net
. . . . . . . . . . . . . . . . . . . . . . . . 983 ..........................................
create_frontend_tcd_cells . . . . . . . . . . . . . . . . . . . . . . . . . . 1061 create_logic_port . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 986 create_frontside_fibs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1062
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . create_macro_array . . . . . . . . . . . . . . . . . . . . . . . . . .
. .989 create_function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1062 create_macro_groups . .
. . . . . . . . . . . . . . . . . . . . . . . . . 991 .........................................
create_gap_lib_cells . . . . . . . . . . . . . . . . . . . . . . . . . 1066
. . . . . . . . . . . . . . . . . . . . 992
create_generated_clock . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . 994 create_geo_mask . . . .
..........................................
. 999 create_grid . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1001 create_group . . . .
..........................................
. . . .1004 create_group_repeaters_guidance . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 1006
create_hdl2upf_vct . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1008 create_icovl_cells . . . .
..........................................
1010 create_if_statement . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1013
create_interconnect_groups . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1015
create_interior_tap_walls . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

create_macro_relative_location_placement . . . . . . .
. . . . . . . . . . . . . . . . . . . 1070
create_manufacturing_shape . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1071 create_marker_layers .
..........................................
1073 create_mask_constraint_routing_blockages . .
. . . . . . . . . . . . . . . . . . . . . . . .1075
create_matching_type . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1077 create_material . . . . . .
..........................................
1078 create_metal_area . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1081
create_metal_area_hole . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1083
create_mim_capacitor_array . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1084
create_mim_layer_capacitors . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1085
create_mismatch_config . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1088 create_ml_db . . . . . . .
..........................................
.1090 create_ml_db_record . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1091
create_mlmp_data . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1092 create_mode . . . . . . . .
..........................................
1093 create_module . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1094 create_multibit . .
..........................................
. . . . .1095 create_multisource_clock_sink_group . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 1097
create_mv_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1100 create_net . . . . . . . . . . .
.........................................
1104 create_net_bus . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1105
create_net_priority . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1107 create_net_shielding . .
11 ..........................................
1108 create_new_function_lib_cells . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1112
create_package_statement . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1115 create_pad_rings . . . . .
.........................................
.1116 create_parameter_statement . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1119
create_pg_composite_pattern . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1120
create_pg_macro_conn_pattern . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1127 create_pg_macro_ring
..........................................
1133 create_pg_mesh_pattern . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1134
create_pg_ml_data . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1139
create_pg_pattern_shapes . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1140 create_pg_region . . . .
..........................................
1144 create_pg_ring_pattern . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1151
create_pg_special_pattern . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1158
create_pg_stapling_vias . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1166
create_pg_std_cell_conn_pattern . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1170 create_pg_strap . . . . .
..........................................
1174

12
create_pseudo_bumps_from_bumps . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1264 create_pseudo_tsv . . .
Contents
Feedback ..........................................
1266 create_pseudo_tsv_def . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1267 create_pst . . . . .
..........................................
create_pg_vias . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1269 create_purpose . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1181 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1271
create_pg_wire_pattern . . . . . . . . . . . . . . . . . . . . . . . create_qor_snapshot . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1188 . . . . . . . . . . . . . . . . . . .1272 create_rail_scenario . .
create_physical_constraint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1193 create_pin . . . . . . . . . . 1275 create_rdl_power_extension . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1276
1196 create_pin_blockage . . . . . . . . . . . . . . . . . . . . . create_rdl_routing_guides . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1197 create_pin_bus . . . . . . . . . . . . . . . . . . . . .1279 create_rdl_shields . . . .
....................................................................................
. . . . 1200 create_pin_check_lib . . . . . . . . . . . . . . . . 1282 create_repeat_statement . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .1202 . . . . . . . . . . . . . . . . . . . . . . . 1287
create_pin_constraint . . . . . . . . . . . . . . . . . . . . . . . . create_repeater_groups . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1204 create_pin_guide . . . . . . . . . . . . . . . . . . . . . . . 1289
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . create_repelling_group_bound_shapes . . . . . . . . . .
.1215 create_placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1292
. . . . . . . . . . . . . . . . . . . . . . . . 1218 create_routing_blockage . . . . . . . . . . . . . . . . . . . . . .
create_placement_attraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1294 create_routing_corridor
. . . . . . . . . . . . . . . . . . .1222 ..........................................
create_placement_blockage . . . . . . . . . . . . . . . . . . . 1300 create_routing_corridor_shape . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1228 create_poly_rect . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1303
..........................................
1233 create_port . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1235 create_port_bus .
..........................................
. . . . 1236 create_power_domain . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .1238
create_power_state_group . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1242 create_power_switch .
..........................................
.1242 create_power_switch_array . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1247
create_power_switch_ring . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1252 create_pr_rule . . . . . . .
..........................................
1258 create_process_layer . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1260
create_pseudo_bump . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1262
Contents
Feedback

create_routing_guide . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1306 create_routing_rule . . .
..........................................
1311 create_rp_group . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1322 create_ruler . . . . .
..........................................
. . . . 1323 create_sadp_track_rule . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .1326
create_safety_control_group . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1328
create_safety_core_group . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1329
create_safety_core_group_shapes . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1333
create_safety_core_rule . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1334
create_safety_error_code_group . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1337
create_safety_error_code_rule . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1340
create_safety_peer_pin_group . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1343
create_safety_register_group . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1344
create_safety_register_rule . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1347 create_safety_tap_cells
.........................................
.1351 create_scaled_lib_cell . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1353 create_scenario .
..........................................
. . . . .1355
create_secondary_pg_placement_constraints . . . . .
. . . . . . . . . . . . . . . . . . . 1357 create_shape . . . . . . .
..........................................
.1361 create_shape_pattern . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 1372
create_shaping_blockage . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1380
13 create_shaping_channel . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1382
create_shaping_constraint . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1384 create_shields . . . . . . . .
.........................................
1389 create_site_array . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1398 create_site_def .
..........................................
. . . . . 1404 create_site_row . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .1406
create_stdcell_fillers . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1408 create_stub_chain . . .
..........................................
.1414 create_suggestion_libs . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 1416 create_supernet
..........................................
. . . . . 1417 create_supply_net . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .1419
create_supply_port . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1422 create_supply_set . . . .
..........................................
1423 create_tap_cells . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1426
create_tap_meshes . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1433 create_taps . . . . . . . . .
..........................................
1435 create_targeted_boundary_cells . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1442 create_tech . . . .
..........................................
. . . . . 1447

14
..........................................
1531 create_via_def . . . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . . . . . .1535
create_via_ladder . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1540 create_via_matrix . . . .
..........................................
create_terminal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1541 create_via_region . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1448 . . . . . . . . . . . . . . . . . . . . . . . 1546 create_via_rule . .
create_terminals_for_pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1452 create_test_protocol . . . . . . 1548 create_virtual_connection . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1551
1453 create_timing_view . . . . . . . . . . . . . . . . . . . . . . create_voltage_area . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1454 . . . . . . . . . . . . . . . . . . . 1553
create_topological_constraint . . . . . . . . . . . . . . . . . . create_voltage_area_rule . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1456 . . . . . . . . . . . . . . . . . . . 1558
create_topology_connections . . . . . . . . . . . . . . . . . . create_voltage_area_shape . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1459 create_topology_edge . . . . . . . . . . . . . . . . . . . 1562 create_vtcell_fillers . . .
....................................................................................
.1461 create_topology_group . . . . . . . . . . . . . . . . . . 1566 create_waiver_container . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 1466 . . . . . . . . . . . . . . . . . . . . . . . 1568
create_topology_node . . . . . . . . . . . . . . . . . . . . . . . . create_while_statement . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1468 create_topology_plan . . . . . . . . . . . . . . . . . . . 1569 create_wire_matching . .
...................................................................................
1474 create_topology_repeater . . . . . . . . . . . . . . . . . 1571 cross_probing_filter . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1478 create_track . . . . . . . . . . . . . . . . . . . . . . . . . . . .1573 current_block . . .
....................................................................................
. . . . .1480 create_track_pattern . . . . . . . . . . . . . . . . . . . . .1575
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 1488
create_trunk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1492 create_trunk_pin_to_pin
.........................................
1500 create_trunk_pin_to_trunk . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1506
create_trunk_shared_track . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1511 create_trunk_topology
..........................................
.1517 create_tsv_array . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 1521
create_undo_marker . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1524 create_upf2hdl_vct . . .
..........................................
1525 create_utilization_configuration . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1526
create_variable_statement . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1530 create_via . . . . . . . . . .
Contents
Feedback

current_corner . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1577 current_design . . . . . . .
.........................................
.1578 current_dft_partition . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1580 current_instance .
..........................................
. . . . 1581 current_lib . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 1583
current_ml_db_record . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1585 current_mode . . . . . . .
..........................................
1587 current_rail_result . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1588 current_scenario .
..........................................
. . . . 1589 current_test_mode . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 1590
current_topology_group . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1591 current_topology_plan .
.........................................
.1592 cut_rows . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 1594
d.........................................
. . . . . . . . . . . . . . . . . . . . . .1595 date . . . . . . . . . . . .
..........................................
. . . 1595 debug_script . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . 1596
decompose_shape_patterns . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1598
decompose_via_matrixes . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1599
define_antenna_accumulation_mode . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1599
define_antenna_area_rule . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1601
define_antenna_layer_ratio_scale . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1603
define_antenna_layer_rule . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1604 define_antenna_rule . .
15 ..........................................
1607 define_derived_user_attribute . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1615
define_dft_design . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1619 define_dft_partition . . .
..........................................
1623 define_hdl_library . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1625
define_lib_cell_family . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1626
define_lib_cell_family_rule . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1629 define_libcell_subset . .
.........................................
.1630 define_modeless_antenna_rule . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1632
define_name_rules . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1633 define_power_model .
..........................................
.1646 define_proc_attributes . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1648 define_process .
..........................................
. . . . . 1654 define_qor_data_panel . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 1655
define_scaling_lib_group . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1657 define_test_mode . . . .
..........................................
1661

16
1705 derive_standard_cell_region_routing_guides . .
. . . . . . . . . . . . . . . . . . . . . . . 1706
Contents
Feedback derive_tsv_placement_blockage . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1708
describe_state_transition . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1709 dft_drc . . . . . . . . . . . . . .
define_upf_isolation_rule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1666 define_user_attribute . . 1710 disconnect_3d_bumps . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1713 disconnect_net . .
.1668 derive_3d_interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1671 . . . . 1714 distribute_objects . . . . . . . . . . . . . . . . . . .
derive_cell_snap_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1716 drive_of . . . .
. . . . . . . . . . . . . . . . . . 1674 ..........................................
derive_clock_balance_constraints . . . . . . . . . . . . . . . . . . . . . . . 1719
. . . . . . . . . . . . . . . . . . 1675
e.........................................
derive_clock_balance_points . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . .1720 echo . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1676
..........................................
derive_clock_cell_references . . . . . . . . . . . . . . . . . .
. . .1720 eco_change_legal_reference . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1678
. . . . . . . . . . . . . . . . . . . . . . . . . . 1721 eco_netlist . . .
derive_design_level_via_regions . . . . . . . . . . . . . . . .
..........................................
. . . . . . . . . . . . . . . . . . 1679
. . . . . . . 1722 eco_opt . . . . . . . . . . . . . . . . . . . . . . . .
derive_hier_antenna_property . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1727
. . . . . . . . . . . . . . . . . . 1681
eco_update_supply_net . . . . . . . . . . . . . . . . . . . . . . .
derive_macro_relative_location . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1733 edit_block . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1682 derive_mask_constraint
.........................................
.........................................
1734 edit_ems_rule . . . . . . . . . . . . . . . . . . . . . . . . . .
1685 derive_metal_cut_routing_guides . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1735 edit_module . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1687
..........................................
derive_perimeter_constraint_objects . . . . . . . . . . . . .
. . . . .1738
. . . . . . . . . . . . . . . . . . 1689
derive_pg_mask_constraint . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1694
derive_pin_access_routing_guides . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1695
derive_placement_blockages . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1698
derive_preferred_macro_locations . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1699
derive_programmable_spare_cell_mapping_rules . .
. . . . . . . . . . . . . . . . . . . 1701
derive_route_connection . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1702
derive_secondary_pg_placement_constraints . . . . .
. . . . . . . . . . . . . . . . . . . 1703 derive_skew_group . . .
..........................................
Contents
Feedback

edit_via_matrix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1739 elaborate . . . . . . . . . . . .
.........................................
1742 elevate_pg_nets . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1744 elevate_signals . .
..........................................
. . . . 1745 enable_dft_debug . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 1747
enable_runtime_improvements . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1748
enable_wireopt_improvements . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1749 error_info . . . . . . . . . .
..........................................
.1751 estimate_delay . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1752
estimate_resistance . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1754 estimate_timing . . . . . . .
.........................................
1756 estimate_topology_edges . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1758
estimate_topology_timing . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1759 eval_checkpoint . . . . .
..........................................
.1765 eval_pg_ml_model . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1767 eval_with_undo . .
..........................................
. . . . 1768 exec_gds2rh . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 1769 exit . . . . . . .
..........................................
. . . . . . . . .1770 expand_objects . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1771
expand_outline . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1773
explore_logic_hierarchy . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1775
explore_macro_placement . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1779
export_advanced_technology_rules . . . . . . . . . . . . .
17 . . . . . . . . . . . . . . . . . . . 1781 extract_model . . . . . . .
..........................................
1782 extract_svf . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1785
f.........................................
. . . . . . . . . . . . . . . . . . . . . . 1787 filter_collection . . .
..........................................
. . . .1787 find_library_gaps . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . .1791
find_library_redundancies . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1792 find_objects . . . . . . . . .
..........................................
1793 fix_floorplan_rules . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1797 fix_mv_design . . .
..........................................
. . . . 1801 fix_pg_missing_vias . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 1808 fix_pg_wire .
..........................................
. . . . . . . . 1811 fix_placement_color_mask . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1815
fix_signal_em . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1815 flip_macro_group . . . . .
.........................................
.1816 flip_objects . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1817

18
. . . . . 1863 get_attribute . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 1866
Contents
Feedback get_blackbox_generated_clocks . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1869 get_block_objects . . . .
..........................................
1870 get_block_pin_constraints . . . . . . . . . . . . . . . .
foreach_in_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1872 get_block_power
. . . . . . . . . . . . . . . . . . .1819 g . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1874 get_blocks . . . . . . . . . . . . . . . . . . . . . . . .
. . .1821 generate_hot_spots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1875
. . . . . . . . . . . . . . . . . . . . . . . . . .1821 get_bond_pad_defs . . . . . . . . . . . . . . . . . . . . . . . . . .
generate_mv_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1879 get_bound_shapes . . .
. . . . . . . . . . . . . . . . . . . 1823 ..........................................
generate_mv_feedback . . . . . . . . . . . . . . . . . . . . . . . 1881 get_bounds . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1825 generate_net_pattern . . . . . . . . . . . . . . . . . . . . . . . . 1886 get_budgets . . . .
....................................................................................
1826 generate_pg_script . . . . . . . . . . . . . . . . . . . . . . . . . . .1891 get_bump_cluster_name . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1828 generate_rm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1897
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . get_bump_cluster_objects . . . . . . . . . . . . . . . . . . . . .
. . . . 1832 generate_sadp_tracks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1898
. . . . . . . . . . . . . . . . . . . . . . . . . . . .1832 get_bump_region_patterns . . . . . . . . . . . . . . . . . . . .
generate_upf_strategies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1900 get_bump_regions . . .
. . . . . . . . . . . . . . . . . . . 1835 ..........................................
generate_via_ladder_template . . . . . . . . . . . . . . . . . .1902 get_bundles . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1836 . . . . . . . . . . . . . . . . . . . . . . . .1907
generate_via_rules_for_performance . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1838 get_abstract_type . . . .
..........................................
1840 get_alignment_marker_rules . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1841
get_alternative_lib_cell . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1843
get_alternative_lib_cells . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1846 get_anchors . . . . . . . .
..........................................
.1848 get_annotation_points . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1851
get_annotation_shapes . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1853
get_antenna_rule_names . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1856 get_app_option_value
..........................................
.1857 get_app_options . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 1860 get_app_var . . .
..........................................
Contents
Feedback

get_busplans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1910
get_case_statement_entries . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1911 get_cell . . . . . . . . . . . .
..........................................
1912 get_cell_array_patterns . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1918 get_cell_buses . .
..........................................
. . . . 1921 get_cells . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 1924
get_cells_of_scan_chain . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1930 get_checkpoint_data . .
..........................................
1931 get_clock_balance_groups . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1934
get_clock_gate_pins . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1936 get_clock_gates . . . . .
..........................................
1938 get_clock_group_groups . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1940 get_clock_groups
..........................................
. . . . 1941 get_clock_skew_groups . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 1944
get_clock_toggle_rate . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1947 get_clock_tree_pins . .
..........................................
1948 get_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1964
get_command_hooks . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1967
get_command_option_values . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1968 get_comments . . . . . .
..........................................
.1970 get_connected_routing . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 1972
get_constraint_groups . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1973 get_core_area . . . . . . .
..........................................
19 1976 get_corners . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 1978 get_cputime . . . .
..........................................
. . . . .1980 get_cross_probing_info . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .1980
get_current_checkpoint . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1983
get_current_ems_database . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .1985
get_current_hook_command . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1986
get_current_mismatch_config . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 1987 get_defined_attributes
..........................................
.1987 get_defined_commands . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 1991
get_density_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 1994 get_design_checks . . . .
.........................................
1997 get_design_rules . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .1999 get_designs . . . .
..........................................
. . . . .2002 get_dff_connections . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2005
get_dft_hierarchical_pins . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2008 get_domain_elements .
.........................................
.2009

20
. . . . . . . . . . . . . . . . . . . 2071
get_floorplan_rule_object . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . 2075 get_generated_clock .
..........................................
.2076 get_generated_clocks . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2079
get_drc_error_data . . . . . . . . . . . . . . . . . . . . . . . . . . get_geometry_result . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2010 get_drc_error_types . . . . . . . . . . . . . . . . . . . . . 2081 get_grids . . . . . . . . . . .
....................................................................................
2013 get_drc_error_waivers . . . . . . . . . . . . . . . . . . . 2084 get_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2017 get_drc_errors . . . . . . . . . . . . . . . . . . . . . . . . . 2086
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . get_gui_stroke_bindings . . . . . . . . . . . . . . . . . . . . . .
. . . . .2019 get_early_data_check_records . . . . . . . . . . . . . . . . . . . . . . . . . . . 2090 get_input_delays . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2024 ..........................................
get_early_power_switch_cells . . . . . . . . . . . . . . . . . . 2091 get_instance_result . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2027 . . . . . . . . . . . . . . . . . . . . . . . 2093 get_io_guides . . .
get_eco_bus_buffer_patterns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2028 get_edit_groups . . . . . . . . . 2096 get_io_rings . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2101
.2029 get_edit_setting . . . . . . . . . . . . . . . . . . . . . . . . get_keepout_margins . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2034 get_editability . . . . . . . . . . . . . . . . . . . . . . 2106 get_label_switch_list . .
....................................................................................
. . . . 2037 get_edrc_setting . . . . . . . . . . . . . . . . . . . . 2109 get_latch_loop_groups . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2038 . . . . . . . . . . . . . . . . . . . . . . . 2110 get_layers . . . . . .
get_ems_databases . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2041 get_ems_rules . . . . . . . . . . 2111
..........................................
.2043 get_equivalent_power_domains . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2046
get_essential_points . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2047
get_estimated_wirelength . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2049 get_exception_groups
..........................................
.2051 get_exceptions . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2052
get_failsafe_fsm_groups . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2056 get_failsafe_fsm_rules
..........................................
2057 get_fill_cells . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2059 get_flat_cells . . .
..........................................
. . . . . 2062 get_flat_nets . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2066
get_flat_pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

get_lib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2114 get_lib_cell . . . . . . . . .
..........................................
2117 get_lib_cell_families . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2121
get_lib_cell_lexical_attribute_values . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2122 get_lib_cells . . . . . . . . .
.........................................
.2124 get_lib_pin . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2127 get_lib_pins . . . .
..........................................
. . . . . 2132 get_lib_timing_arcs . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2137 get_libs . . .
..........................................
. . . . . . . . . 2140 get_license . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2144
get_licenses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2145
get_macro_group_packing_clone_candidates . . . . .
. . . . . . . . . . . . . . . . . . . 2146
get_manufacturing_shapes . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2147 get_matching_types . . .
.........................................
2151 get_materials . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2154 get_mem . . . . . .
..........................................
. . . . . 2155 get_message_ids . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2156
get_message_info . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2157 get_metal_area_holes .
.........................................
.2159 get_metal_areas . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2164 get_mib_objects
..........................................
. . . . . 2169 get_mismatch_objects . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .2170
get_mismatch_types . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2173 get_modes . . . . . . . . .
21 ..........................................
.2176 get_modules . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2178 get_msg . . . . . .
..........................................
. . . . . .2181 get_multisource_clock_sink_groups . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2183 get_net . .
..........................................
. . . . . . . . . . 2185 get_net_buses . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2192
get_net_estimation_rules . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2195 get_nets . . . . . . . . . . . .
.........................................
.2197 get_nets_requiring_feedthroughs . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2203
get_new_lib_cell_function_input_count . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2204
get_new_lib_cell_function_string . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2205
get_new_lib_cell_function_weight . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2206 get_num_scan_chains .
.........................................
2206 get_object_by_id . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2207 get_object_name
..........................................
. . . . .2208 get_object_occurrences . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2209

22
2292 get_power_domains . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2294 get_power_group
Contents
Feedback ..........................................
. . . . 2297 get_power_group_objects . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .2298
get_power_strategies . . . . . . . . . . . . . . . . . . . . . . . .
get_objects_by_location . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2299
. . . . . . . . . . . . . . . . . . . 2210 get_output_delays . . . get_power_switch_patterns . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2302
.2215 get_overlap_blockages . . . . . . . . . . . . . . . . . . get_power_switch_resistance . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2217 . . . . . . . . . . . . . . . . . . . 2304 get_power_taps . . . . .
get_parasitic_techs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2221 get_path_group . . . . . ..2305 get_pr_rules . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2306
2223 get_path_groups . . . . . . . . . . . . . . . . . . . . . . . . get_process_layers . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2226 get_pg_regions . . . . . . . . . . . . . . . . . . . . . 2309 get_pseudo_bumps . .
....................................................................................
. . . . 2228 get_physical_constraints . . . . . . . . . . . . . .2310 get_pseudo_tsv_defs . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .2232 get_pin . . . . . . . . . . . . . . . . . . . . . . . . . . . 2316 get_pseudo_tsvs .
....................................................................................
. . . . . . . . .2235 get_pin_blockages . . . . . . . . . . . . . . . . . . 2318 get_purposes . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2240 . . . . . . . . . . . . . . . . . . . . . . . . . . . .2323
get_pin_buses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . get_rail_probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2245 get_pin_constraints . . . . . . . . . . . . . . . . . . . . . . 2325
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . get_related_supply_nets . . . . . . . . . . . . . . . . . . . . . .
2248 get_pin_guides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2326
. . . . . . . . . . . . . . . . . . . . . . . 2251 get_pins . . . . . . .
..........................................
. . . . .2255 get_placement_attractions . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2262
get_placement_blockages . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2267
get_placement_ir_drop_target . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2272 get_port . . . . . . . . . . . . .
.........................................
2273 get_port_antenna_property . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2278 get_port_buses .
..........................................
. . . . . 2280 get_ports . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2283
get_power_budget . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2289
get_power_clock_scaling . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2290 get_power_derate . . . . .
.........................................
Contents
Feedback

get_related_supply_set . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2328
get_repeater_group_info . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2329
get_repeater_paths_info . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2330
get_routes_between_objects . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2331 get_routing_blockages .
.........................................
2332 get_routing_corridor_shapes . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2337
get_routing_corridors . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2342 get_routing_guides . . . .
.........................................
2348 get_routing_rules . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2352
get_rp_blockages . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2355 get_rp_group_objects .
..........................................
2358 get_rp_groups . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2360 get_rulers . . . . . .
..........................................
. . . . 2364 get_safety_core_groups . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2366
get_safety_core_rules . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2368
get_safety_error_code_groups . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2370
get_safety_error_code_rules . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2372
get_safety_register_groups . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2374
get_safety_register_rules . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2375 get_scan_cell_names .
..........................................
2377 get_scan_cells_of_chain . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2378
get_scan_chain_collection . . . . . . . . . . . . . . . . . . . . .
23 . . . . . . . . . . . . . . . . . . 2379 get_scan_chain_count .
.........................................
2380 get_scan_chain_names . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2380
get_scan_chain_of_cell . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2381 get_scan_segments . . .
.........................................
2382 get_scenarios . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2383 get_selection . . . .
..........................................
. . . . 2387 get_shape_patterns . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .2389 get_shapes
..........................................
. . . . . . . . . 2395 get_shaping_blockages . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2401
get_shaping_channels . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2404 get_shaping_constraints
.........................................
2406 get_site_arrays . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2408 get_site_defs . . .
..........................................
. . . . .2413 get_site_rows . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2415
get_snap_setting . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2420 get_statements . . . . . .
..........................................
2423 get_stub_chains . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2426

24
. . . . . . . . . . . . . . . . . . .2496 get_upf_port_attribute .
..........................................
Contents
Feedback 2496 get_user_units . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2497
get_utilization_configurations . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2499 get_via_defs . . . . . . . .
get_supernets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2428 2501 get_via_ladders . . . . . . . . . . . . . . . . . . . . . . . .
get_supply_net_probability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2504 get_via_matrixes
. . . . . . . . . . . . . . . . . . . 2432 get_supply_nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2507 get_via_regions . . . . . . . . . . . . . . . . . . .
2433 get_supply_ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2511
. . . . . . . . . . . . . . . . . . . . . . . .2436 get_supply_sets . get_via_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2515 get_vias . . . . . . . . . . . .
. . . . 2439 get_svf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .2442 .2518 get_view_switch_list . . . . . . . . . . . . . . . . . . . .
get_switching_activity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2523
. . . . . . . . . . . . . . . . . . . 2443 get_taps . . . . . . . . . . . get_virtual_connections . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2525 get_voltage_area_rules
.2445 get_techs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2448 get_terminals . . . .2527 get_voltage_area_shapes . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2529
. . . . .2451 get_timing_arcs . . . . . . . . . . . . . . . . . . . . get_voltage_areas . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .2456 . . . . . . . . . . . . . . . . . . .2534 get_vsdc . . . . . . . . . . .
get_timing_paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2458 2538
get_topological_constraints . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2468 get_topology_edges . .
..........................................
2470 get_topology_groups . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2473
get_topology_nodes . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2476 get_topology_plans . . .
..........................................
2479 get_topology_repeaters . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2482
get_total_flop_count . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2485 get_trace_option . . . . .
..........................................
2486 get_tracks . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2488 get_undo_info . . .
..........................................
. . . . 2493 get_unix_variable . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2495
get_upf_design_attribute . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

get_waiver_containers . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2539
get_working_design_stack . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2542
get_working_ml_db_record . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2543 getenv . . . . . . . . . . . . .
..........................................
2543 group_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2545 group_path . . . . .
..........................................
. . . . 2549 gui_add_annotation . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .2555
gui_add_image_view_file . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2561 gui_add_missing_vias
..........................................
.2563 gui_append_utable . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2565
gui_change_charts_model . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2569
gui_change_error_highlight . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2572 gui_change_highlight . .
.........................................
2575 gui_change_layer . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2577
gui_change_schematic . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2578
gui_change_selection_utable . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2579 gui_change_via_def . .
..........................................
2581 gui_change_via_size . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2582
gui_check_drc_errors . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2583
gui_clear_error_data_filter . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2586
gui_clear_selected_errors . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2587 gui_close_error_data . .
.........................................
25 .2587 gui_close_utable . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2589
gui_close_window . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2590
gui_connect_charts_signal . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2591 gui_create_attrgroup . .
..........................................
2592 gui_create_block_diagram . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2594
gui_create_category_rule . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2595
gui_create_charts_arrow_annotation . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2598
gui_create_charts_ellipse_annotation . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2601
gui_create_charts_model . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2603 gui_create_charts_plot
..........................................
2606 gui_create_charts_point_annotation . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2608
gui_create_charts_polygon_annotation . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2610
gui_create_charts_polyline_annotation . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2612
gui_create_charts_rectangle_annotation . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2613
gui_create_charts_text_annotation . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2615
gui_create_clock_graph . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2617
gui_create_clock_histogram . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2618

26
. . . . . . . . . . . . . . . . . . . . . . . .2661
gui_delete_toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . .2663
gui_delete_toolbar_item . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2664
gui_delete_user_widget_item . . . . . . . . . . . . . . . . . .
gui_create_menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2665
. . . . . . . . . . . . . . . . . . .2618 gui_edit_vmbucket_contents . . . . . . . . . . . . . . . . . . .
gui_create_message_waiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2667 gui_error_browser . . . .
. . . . . . . . . . . . . . . . . . 2623 ..........................................
gui_create_pref_category . . . . . . . . . . . . . . . . . . . . . 2668 gui_estimate_topology_timing . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2625 gui_create_pref_key . . . . . . . . . . . . . . . . . . . . . . . . . .2669
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . gui_eval_command . . . . . . . . . . . . . . . . . . . . . . . . . .
2626 gui_create_schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2670
. . . . . . . . . . . . . . . . . . . . . . . 2629 gui_create_task . gui_eval_task_command . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2671
. . . . .2630 gui_create_task_item . . . . . . . . . . . . . . . .gui_execute_menu_item . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2631 . . . . . . . . . . . . . . . . . . . 2672
gui_create_task_page . . . . . . . . . . . . . . . . . . . . . . . . gui_exist_pref_category . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2633 . . . . . . . . . . . . . . . . . . 2673 gui_exist_pref_key . . . .
gui_create_tk_palette_type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2634 gui_create_toolbar . . . 2674 gui_exist_window . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2675
.2636 gui_create_toolbar_item . . . . . . . . . . . . . . . . . gui_explore_logic_hierarchy . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2637 . . . . . . . . . . . . . . . . . . . 2677 gui_export_utable . . . .
gui_create_user_editor_toolbox_type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2639 2680 gui_fill_utable . . . . . . . . . . . . . . . . . . . . . . . . . .
gui_create_user_widget_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2682
. . . . . . . . . . . . . . . . . . .2640 gui_foreach_utable_row . . . . . . . . . . . . . . . . . . . . . .
gui_create_user_widget_item . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2685
. . . . . . . . . . . . . . . . . . . 2643 gui_create_utable . . . .
..........................................
2645 gui_create_vm . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2648
gui_create_vm_objects . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2650 gui_create_vmbucket .
..........................................
2651 gui_create_window . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2654
gui_create_window_toolbar_type . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2657
gui_define_charts_proc . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2658 gui_delete_attrgroup . .
..........................................
2660 gui_delete_menu . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

gui_get_annotations . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2686 gui_get_bucket_option
..........................................
2688 gui_get_bucket_option_list . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2689
gui_get_charts_data . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2690
gui_get_charts_property . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2694 gui_get_clock_tree . . .
..........................................
2695 gui_get_color_value . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2696
gui_get_current_task . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2697
gui_get_current_task_item . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2698
gui_get_current_task_page . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2698
gui_get_current_window . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2699 gui_get_display_view .
..........................................
2701 gui_get_error_browser_option . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2702
gui_get_error_data . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2704 gui_get_errors . . . . . . .
..........................................
2705 gui_get_hierview_data . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2706 gui_get_highlight .
..........................................
. . . . 2708 gui_get_highlight_options . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2709
gui_get_image_view_data . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2710 gui_get_layer_widths . .
.........................................
.2714 gui_get_map . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2715 gui_get_map_list
..........................................
. . . . . 2717 gui_get_map_option . . . . . . . . . . . . . . . .
27 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2718
gui_get_map_option_list . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2719 gui_get_mapbucket . .
..........................................
.2720 gui_get_menu_roots . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2721
gui_get_mouse_tool_option . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2722
gui_get_performance_log_option . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2723
gui_get_pref_categories . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2723 gui_get_pref_keys . . .
..........................................
.2724 gui_get_pref_value . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2725
gui_get_pref_value_type . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2726 gui_get_presets . . . . . .
.........................................
.2727 gui_get_region . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2728 gui_get_setting .
..........................................
. . . . . 2728 gui_get_task_list . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2729
gui_get_task_page . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2730
gui_get_toolbar_names . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2731
gui_get_user_widget_items . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2732

28
gui_load_clock_trunk_planning . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2768 gui_load_hierarchy_vm
Contents
Feedback ..........................................
2769 gui_load_imported_path_pins_vm . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2770
gui_load_path_analyzer_flylines . . . . . . . . . . . . . . . .
gui_get_utable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2772
. . . . . . . . . . . . . . . . . . .2733 gui_get_vm . . . . . . . . . gui_load_pin_density_mm . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2772
2736 gui_get_vmbucket . . . . . . . . . . . . . . . . . . . . . . .gui_load_power_density_mm . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2738 . . . . . . . . . . . . . . . . . . . 2773
gui_get_window_ids . . . . . . . . . . . . . . . . . . . . . . . . . gui_load_routing_guide_vm . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2740 . . . . . . . . . . . . . . . . . . 2774
gui_get_window_pref_categories . . . . . . . . . . . . . . . gui_load_rp_group_net_connectivity_vm . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2742 . . . . . . . . . . . . . . . . . . . 2775 gui_load_rp_vm . . . . .
gui_get_window_pref_keys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2743 .2776 gui_load_scan_chain_vm . . . . . . . . . . . . . . . .
gui_get_window_pref_value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2777
. . . . . . . . . . . . . . . . . . . 2745 gui_load_voltage_area_vm . . . . . . . . . . . . . . . . . . . .
gui_get_window_presets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2778 gui_log_performance .
. . . . . . . . . . . . . . . . . . .2746 gui_get_window_types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2778 gui_merge_utable . . . . . . . . . . . . . . . . . . . . . .
2747 gui_group_charts_plots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2779 gui_mouse_tool
. . . . . . . . . . . . . . . . . . . . . . . .2749 gui_hide_palette . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2781 gui_open_error_data . . . . . . . . . . . . . . .
. . . . . 2750 gui_hide_toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2782
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2752 gui_open_utable . . . . . . . . . . . . . . . . . . . . . . . . . . . .
gui_hide_window_toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2784 gui_overlay_layout . . .
. . . . . . . . . . . . . . . . . . . 2754 ..........................................
gui_highlight_nets_of_selected . . . . . . . . . . . . . . . . . 2786
. . . . . . . . . . . . . . . . . . .2754 gui_import_utable . . . .
..........................................
2755 gui_list_attrgroups . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2758
gui_list_category_rules . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2759 gui_list_vm . . . . . . . . .
..........................................
2762 gui_load_area_net_connection_vm . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2762
gui_load_cell_density_mm . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2764
gui_load_cell_displacement_vm . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2764
gui_load_cell_slack_vm . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2766
Contents
Feedback

gui_place_charts_plots . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2787
gui_plot_lib_cells_attributes . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2788
gui_plot_timing_arcs_attributes . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2790 gui_query_objects . . . . .
.........................................
2792 gui_read_timing_paths . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2795
gui_read_user_map . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2796
gui_remove_all_annotations . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2799 gui_remove_all_rulers
..........................................
.2799 gui_remove_annotations . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2800
gui_remove_category_rules . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2801
gui_remove_charts_annotation . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2803
gui_remove_charts_model . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2804
gui_remove_charts_plot . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2805
gui_remove_image_view_file . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2805
gui_remove_message_waivers . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2806 gui_remove_pref_key .
..........................................
2807 gui_remove_ruler . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2808
gui_remove_user_map . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2809 gui_remove_vm . . . . .
..........................................
.2810 gui_remove_vmbucket . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2810 gui_report_errors
..........................................
. . . . .2812 gui_report_hotkeys . . . . . . . . . . . . . . . . .
29 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2813
gui_report_map . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2814
gui_report_performance . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2815
gui_report_proc_arg_type_names . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2817 gui_report_task . . . . . . .
.........................................
2820 gui_schematic_add_logic . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2821
gui_schematic_remove_logic . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2822 gui_scroll . . . . . . . . . . .
..........................................
2822 gui_select_anchor_objects_of_selected . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2824
gui_select_anchors_of_selected . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2824
gui_select_annotation_points_of_selected . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2825
gui_select_annotation_shapes_of_selected . . . . . . .
. . . . . . . . . . . . . . . . . . . 2825
gui_select_block_pin_constraints_of_selected . . . . .
. . . . . . . . . . . . . . . . . . . 2826
gui_select_bounds_of_selected . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2826
gui_select_bump_patterns_of_selected . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2827
gui_select_bump_regions_of_selected . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2828
gui_select_bundles_of_selected . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2828 gui_select_by_name . .
..........................................
2829

30
. . . . . . . . . . . . . . . . . . .2842
gui_select_mib_connections_of_selected . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . .2843
gui_select_mib_topology_instances_of_selected . . .
. . . . . . . . . . . . . . . . . . .2843
gui_select_modules_of_selected . . . . . . . . . . . . . . . .
gui_select_cell_buses_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2844
. . . . . . . . . . . . . . . . . . .2831 gui_select_net_buses_of_selected . . . . . . . . . . . . . .
gui_select_cells_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2845
. . . . . . . . . . . . . . . . . . 2831 gui_select_net_routing_of_selected . . . . . . . . . . . . .
gui_select_connected_net_shapes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2845
. . . . . . . . . . . . . . . . . . .2832 gui_select_net_shapes_of_selected . . . . . . . . . . . . .
gui_select_connected_rdl_net_shapes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2846
. . . . . . . . . . . . . . . . . . .2833 gui_select_net_vias_of_selected . . . . . . . . . . . . . . . .
gui_select_connected_topology_of_selected . . . . . . . . . . . . . . . . . . . . . . . . 2846
. . . . . . . . . . . . . . . . . . . 2833 gui_select_nets_of_selected . . . . . . . . . . . . . . . . . . .
gui_select_connections_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2847
. . . . . . . . . . . . . . . . . . 2834 gui_select_objects_of_selected_edit_group . . . . . . .
gui_select_constraint_groups_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . . . 2847
. . . . . . . . . . . . . . . . . . . 2835 gui_select_output_connections_of_selected . . . . . . .
gui_select_dependent_objects_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . 2848
. . . . . . . . . . . . . . . . . . . 2835 gui_select_pin_buses_of_selected . . . . . . . . . . . . . .
gui_select_failsafe_fsm_groups_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . 2849
. . . . . . . . . . . . . . . . . . . 2836 gui_select_pin_constraints_of_selected . . . . . . . . . .
gui_select_failsafe_fsm_rules_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . . . 2849
. . . . . . . . . . . . . . . . . . .2837 gui_select_placement_attraction_of_selected . . . . . .
gui_select_flops_of_selected . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . 2850
. . . . . . . . . . . . . . . . . . 2837 gui_select_port_buses_of_selected . . . . . . . . . . . . .
gui_select_input_connections_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . . . 2850
. . . . . . . . . . . . . . . . . . .2838 gui_select_ports_of_selected_power_supply_nets . .
gui_select_keepout_margins_of_selected . . . . . . . . .. . . . . . . . . . . . . . . . . . .2851
. . . . . . . . . . . . . . . . . . 2838 gui_select_power_domains_of_selected . . . . . . . . . .
gui_select_macros_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2851
. . . . . . . . . . . . . . . . . . . 2839 gui_select_primary_power_supply_nets_of_selected
gui_select_manufacturing_shapes_of_selected . . . . . . . . . . . . . . . . . . . . . . .2852
. . . . . . . . . . . . . . . . . . . 2839 gui_select_process_layers_of_selected . . . . . . . . . .
gui_select_matching_types_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2853
. . . . . . . . . . . . . . . . . . 2840 gui_select_pseudo_bumps_column_of_selected . . .
gui_select_materials_of_selected . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2853
. . . . . . . . . . . . . . . . . . . 2840
gui_select_metal_area_holes_of_selected . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2841
gui_select_metal_areas_of_selected . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2842
gui_select_mib_cells_of_selected . . . . . . . . . . . . . . .
Contents
Feedback

gui_select_pseudo_bumps_of_selected . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2854
gui_select_pseudo_bumps_row_of_selected . . . . . .
. . . . . . . . . . . . . . . . . . . 2854
gui_select_pseudo_tsv_defs_of_selected . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2855
gui_select_pseudo_tsvs_column_of_selected . . . . .
. . . . . . . . . . . . . . . . . . . 2855
gui_select_pseudo_tsvs_of_selected . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2856
gui_select_pseudo_tsvs_row_of_selected . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2857
gui_select_repeater_cells_of_selected . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2857
gui_select_routing_corridors_of_selected . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2858
gui_select_rp_blockages_of_selected . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2858
gui_select_rp_groups_of_selected . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2859
gui_select_rtl_statements_of_selected . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2860
gui_select_safety_core_groups_of_selected . . . . . . .
. . . . . . . . . . . . . . . . . . 2860
gui_select_safety_core_rules_of_selected . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2861
gui_select_safety_error_code_groups_of_selected .
. . . . . . . . . . . . . . . . . . . 2861
gui_select_safety_error_code_rules_of_selected . . .
. . . . . . . . . . . . . . . . . . .2862
gui_select_safety_register_groups_of_selected . . . .
. . . . . . . . . . . . . . . . . . . 2862
gui_select_safety_register_rules_of_selected . . . . . .
. . . . . . . . . . . . . . . . . . 2863
gui_select_sequential_cells_of_selected . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2864
gui_select_shapes_of_selected . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2864
31 gui_select_shield_routing_of_selected . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2865
gui_select_shielded_nets_of_selected . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2866
gui_select_site_arrays_of_selected . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2866
gui_select_site_rows_of_selected . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2867
gui_select_supernets_of_selected . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2867
gui_select_tap_cells_of_selected . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2868
gui_select_terminals_of_selected . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2868
gui_select_topology_begin_nodes_of_selected . . . .
. . . . . . . . . . . . . . . . . . . 2869
gui_select_topology_edges_of_selected . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2870
gui_select_topology_end_nodes_of_selected . . . . . .
. . . . . . . . . . . . . . . . . . 2870
gui_select_topology_nodes_of_selected . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2871
gui_select_topology_plans_of_selected . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2872
gui_select_topology_repeaters_of_selected . . . . . . .
. . . . . . . . . . . . . . . . . . .2872
gui_select_tracks_of_selected . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2873
gui_select_via_ladders_of_selected . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2874 gui_select_vmbucket .
..........................................
.2874 gui_select_voltage_areas_of_selected . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2876
gui_select_voting_logics_of_selected . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2876 gui_selection_stack . . .
.........................................
.2877 gui_set_active_window . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 2878

32
gui_set_selected_errors . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2914 gui_set_setting . . . . . .
Contents
Feedback ..........................................
2915 gui_set_task_list . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2916 gui_set_utable . .
..........................................
gui_set_bucket_option . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2916 gui_set_utable_meta . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2879 gui_set_charts_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2921
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . gui_set_utable_values . . . . . . . . . . . . . . . . . . . . . . . .
2880 gui_set_charts_property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2924 gui_set_vm . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2882 ..........................................
gui_set_current_errors . . . . . . . . . . . . . . . . . . . . . . . .2925 gui_set_vmbucket . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2883 gui_set_current_task . . . . . . . . . . . . . . . . . . . . . . . . . 2928
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . gui_set_window_pref_key . . . . . . . . . . . . . . . . . . . . .
.2885 gui_set_display_view . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2931 gui_set_window_preset
. . . . . . . . . . . . . . . . . . . . . . . 2885 .........................................
gui_set_error_browser_option . . . . . . . . . . . . . . . . . . .2933 gui_show_command_form . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2886 gui_set_error_data_filter . . . . . . . . . . . . . . . . . . . . . . . 2934
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . gui_show_connectivity . . . . . . . . . . . . . . . . . . . . . . . .
2890 gui_set_error_status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2935 gui_show_file_in_editor
. . . . . . . . . . . . . . . . . . . . . . . 2892 .........................................
gui_set_hierview_data . . . . . . . . . . . . . . . . . . . . . . . . .2936 gui_show_man_page . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2893 . . . . . . . . . . . . . . . . . . . . . . . 2937 gui_show_map . .
gui_set_highlight_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2895 gui_set_hotkey . . . . . . . . . . 2938 gui_show_palette . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2939
2896 gui_set_image_view_data . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2899
gui_set_layer_widths . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2903
gui_set_layout_layer_visibility . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2904
gui_set_layout_user_command . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2905 gui_set_map_option . . .
.........................................
2906 gui_set_mouse_tool_option . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2907
gui_set_performance_log_option . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2908 gui_set_pref_value . . .
..........................................
2910 gui_set_preset . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2911 gui_set_region . .
..........................................
. . . . .2912 gui_set_select_menu_adds_to_selection
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2913
Contents
Feedback

gui_show_rtl_source_file_line . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2941 gui_show_source_file .
..........................................
2942 gui_show_task_assistant . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2943
gui_show_timing_paths . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2943 gui_show_toolbar . . . . .
.........................................
2944 gui_show_url_in_browser . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2946 gui_show_utable .
..........................................
. . . . 2947 gui_show_window . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2951
gui_show_window_toolbar . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2953 gui_start . . . . . . . . . . . .
.........................................
.2953 gui_stop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .2955
gui_trim_dangling_wires . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2955 gui_update_attrgroup .
..........................................
2957 gui_update_pref_file . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2958 gui_update_vm . .
..........................................
. . . . 2959 gui_update_vm_annotations . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2960
gui_view_port_history . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2964 gui_write_annotations .
..........................................
2967 gui_write_cell_location . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2968
gui_write_charts_data . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2969
gui_write_charts_image . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2970
gui_write_hierarchy_colors . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2971 gui_write_timing_paths
..........................................
33 2972 gui_write_user_map . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2973 gui_write_utable .
..........................................
. . . . 2975 gui_write_window_image . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 2977 gui_zoom . .
..........................................
. . . . . . . . .2980
gui_zoom_all_layouts_to_current_view . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 2982
gui_zoom_to_selected_errors . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2982
h.........................................
. . . . . . . . . . . . . . . . . . . . . .2983 help . . . . . . . . . . . .
..........................................
. . . 2983 help_app_options . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . 2985
help_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .2988 hier_route_eco . . . . . . .
.........................................
2989 history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 2989 hyper_route_opt .
..........................................
. . . . 2993
i.........................................
. . . . . . . . . . . . . . . . . . . . . . 2995 identify_channels .
..........................................
. . . .2995

34
. . . . . . . . . . . . . . . . . . . . . . . . 3047 list_blocks . . . . .
..........................................
Contents
Feedback . . . . . 3049 list_commands . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3052
list_dont_touch_types . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3055 list_licenses . . . . . . . .
identify_lib_cell_families . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 2997 identify_multibit . . . . . . .3056 list_size_only_types . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3056 list_test_ips . . . . .
2999 identify_on_edge_macros . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3002 . . . . 3057 list_test_models . . . . . . . . . . . . . . . . . . . .
implement_topology_repeaters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3058
. . . . . . . . . . . . . . . . . . .3003 index_collection . . . . . . list_test_modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3059 lminus . . . . . . . . . . . . .
.3005 infer_supply_from_pg_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3007 3060 load_block_constraints . . . . . . . . . . . . . . . . . . .
infer_switching_activity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3061 load_busplans . . .
. . . . . . . . . . . . . . . . . . . 3008 init_hier_optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3064 load_metal_pattern_density . . . . . . . . . . .
.3012 initialize_floorplan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3065 load_of . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3013 insert_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3066 load_ssf . . . . . . . . . . . . . . . . . . . . . .
. . . . . 3019 insert_dft . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3066
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3025
insert_power_tap_cells . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3026 insert_redundant_trees
..........................................
3028 insert_safety_core_boundary_protection . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3030
insert_topology_repeater_connections . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3032 insert_via_ladders . . . .
..........................................
3033 is_false . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3035 is_true . . . . . . . . .
..........................................
. . . . 3036
l.........................................
. . . . . . . . . . . . . . . . . . . . . . 3037 lc_sh . . . . . . . . . . .
..........................................
. . . 3037 legalize_placement . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . 3038
legalize_rp_groups . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3042 link_block . . . . . . . . . .
..........................................
.3043 list_attributes . . . . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

load_upf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3068 log_trace . . . . . . . . . . .
..........................................
3070 ls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3072
m........................................
. . . . . . . . . . . . . . . . . . . . . . 3073 magnet_placement
..........................................
. . . 3073 man . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . 3077
map_freeze_silicon . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3078 map_isolation_cell . . .
..........................................
.3081 map_level_shifter_cell . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3083
map_power_switch . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3084 map_retention_cell . . .
..........................................
3085 map_retention_clamp_cell . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3087 mark_clock_trees
..........................................
. . . . 3089 mark_failsafe_fsm . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3090
mark_safety_core . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3094
mark_safety_error_code . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3097 mark_safety_register .
..........................................
.3100 mem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3103 merge_abstract . .
..........................................
. . . . 3104 merge_clips . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .3105
merge_clock_gates . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3108 merge_objects . . . . . .
..........................................
.3108 merge_pg_mesh . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3110 merge_stream . .
35 ..........................................
. . . . . 3112 merge_topology_plans . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3115
modify_busplan . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3116 modify_die_area . . . . .
..........................................
3120 modify_rp_groups . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3122 move_block . . . . .
..........................................
. . . . 3125 move_block_origin . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3127 move_lib . . .
..........................................
. . . . . . . . 3128 move_objects . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3130
n.........................................
. . . . . . . . . . . . . . . . . . . . . .3133 name_format . . . . .
..........................................
. . .3133 o . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .3134
open_attachment . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3134 open_block . . . . . . . . .
..........................................
3135 open_drc_error_data . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3138

36
. . . . . . . . . . . . . . . . . . 3207 preview_dft . . . . . . . . . .
.........................................
Contents
Feedback 3208 print_message_info . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3210
print_suppressed_messages . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3212 printenv . . . . . . . . . . . . .
open_ems_database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3141 open_lib . . . . . . . . . . . . 3213 printvar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3214 proc_args . . . . . .
.3142 open_ml_db . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3144 open_rail_result . . . . . 3216 proc_body . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3217
. . . . .3145 optimize_dft . . . . . . . . . . . . . . . . . . . . . . . promote_clock_data . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .3148 . . . . . . . . . . . . . . . . . . . 3218
optimize_rdl_routes . . . . . . . . . . . . . . . . . . . . . . . . . . propagate_3d_connections . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3149 optimize_routability . . . . . . . . . . . . . . . . . . . . . .3221
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . propagate_3d_matching_types . . . . . . . . . . . . . . . . .
3151 optimize_routes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3222
. . . . . . . . . . . . . . . . . . . . . . . .3153 propagate_pin_mask_constraint . . . . . . . . . . . . . . . .
optimize_topology_plans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3224
. . . . . . . . . . . . . . . . . . .3154 propagate_pin_mask_to_via_metal . . . . . . . . . . . . . .
p . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3225
. . . . . . . . . . . . . . . . . . . . . .3156 pack_macro_group propagate_switching_activity . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3227
. . . 3156 parallel_execute . . . . . . . . . . . . . . . . . . . . . propagate_topology_plans . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . 3156 . . . . . . . . . . . . . . . . . . . 3228
parse_proc_arguments . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3160 partition_block . . . . . . .
..........................................
3162 place_eco_cells . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3164
place_freeze_silicon . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3176 place_group_repeaters
..........................................
3182 place_io . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3186 place_macro_ml
..........................................
. . . . . 3190 place_opt . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .3195 place_pins
..........................................
. . . . . . . . . . 3196 pop_up_objects . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3204
pre_extract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3206
preplace_group_repeaters . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

push_down_clock_trunks . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3230 push_down_objects . .
..........................................
.3231 push_rdl_routes . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3250 py_eval . . . . . . .
..........................................
. . . . . 3253
q.........................................
. . . . . . . . . . . . . . . . . . . . . .3256
query_cell_instances . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3256 query_cell_mapped . . .
.........................................
.3257 query_map_power_switch . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3258 query_net_ports .
..........................................
. . . . 3259 query_objects . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3260
query_pg_extension . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3263 query_port_net . . . . . .
..........................................
3264 query_port_state . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3266
query_power_switch . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3267 query_pst . . . . . . . . . .
..........................................
.3269 query_pst_state . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3270
query_qor_snapshot . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3271 quit! . . . . . . . . . . . . . . .
..........................................
3278 quit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3279
r.........................................
. . . . . . . . . . . . . . . . . . . . . . 3280 read_3dblox . . . . .
..........................................
. . . .3280 read_aif . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3281
read_app_options . . . . . . . . . . . . . . . . . . . . . . . . . . .
37 . . . . . . . . . . . . . . . . . . . 3283
read_block_connection_file . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3285 read_cell_expansion . .
..........................................
3288 read_def . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3289 read_design_io . .
..........................................
. . . . 3293 read_dff_connections . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3303
read_drc_error_file . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3305 read_feature_tables . .
..........................................
3306 read_fill_from_stream . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3308 read_gds . . . . . . .
..........................................
. . . . 3313 read_ivm . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3324
read_lib_package . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3325 read_name_map . . . . .
..........................................
3326 read_net_estimation_rules . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3328 read_oasis . . . . .
..........................................
. . . . .3329 read_ocvm . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .3340

38
3402 recover_rp_placement . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3403
Contents
Feedback recycle_programmable_spare_cells . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3404 redirect . . . . . . . . . . . .
..........................................
3405 redo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
read_optimization_history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3410 refine_placement
. . . . . . . . . . . . . . . . . . . 3342 read_parasitic_tech . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3413 refine_topology_plans . . . . . . . . . . . . . . .
.3342 read_parasitics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3414
. . . . . . . . . . . . . . . . . . . . . . . . 3345 refresh_performance_via_ladder_constraints . . . . . .
read_physical_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3415 refresh_via_ladders . . .
. . . . . . . . . . . . . . . . . . 3347 read_pin_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3416 remove_3d_virtual_blocks . . . . . . . . . . . . . . . .
.3349 read_power_profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3417 remove_abstract
. . . . . . . . . . . . . . . . . . . . . . . . 3360 read_rde . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3418 remove_alignment_marker_rules . . . . . .
. . . . . 3361 read_saif . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3420
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3362 read_sdc . . remove_anchors . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3421
. . . . . . . . . 3366 read_signal_em_constraints . . . . . . remove_annotated_check . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3371 . . . . . . . . . . . . . . . . . . .3422
read_tech_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . remove_annotated_delay . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3372 read_tech_lef . . . . . . . . . . . . . . . . . . . . . . . . . . 3425
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . remove_annotated_power . . . . . . . . . . . . . . . . . . . . .
.3373 read_test_lib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3427
. . . . . . . . . . . . . . . . . . . . . . . . 3375 read_test_model
..........................................
. . . . . 3376 read_test_protocol . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .3378
read_thermal_info . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3381 read_verilog . . . . . . . .
..........................................
.3384 read_verilog_outline . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3387
read_virtual_pad_file . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3393 rebind_block . . . . . . . .
..........................................
3394 reconnect_fishbone_style_power_switch . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3396
record_layout_editing . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3398
record_signoff_eco_changes . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3400 recover_auto_save . . . .
.........................................
Contents
Feedback

remove_annotated_transition . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3428
remove_annotation_shapes . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3430 remove_antenna_rules
..........................................
3431 remove_array_from_macro_group . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3432
remove_attachments . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3433 remove_attributes . . . .
..........................................
3434 remove_auto_save . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3436
remove_blackbox_timing . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3437
remove_block_pin_constraints . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3438 remove_blocks . . . . . .
..........................................
3440 remove_bond_pad_defs . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3441
remove_bound_shapes . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3442
remove_boundary_cell_rules . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3444
remove_boundary_optimization . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3448 remove_bounds . . . . . .
.........................................
.3449 remove_buffer . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3450
remove_buffer_trees . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3452 remove_buffers . . . . . .
..........................................
3454 remove_bump_clusters . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3457
remove_bump_region_patterns . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3458 remove_bump_regions .
.........................................
3459 remove_bundle_pin_constraints . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3460 remove_bundles .
39 ..........................................
. . . . 3463 remove_busplans . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3463
remove_case_analysis . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3465 remove_cell . . . . . . . .
..........................................
.3466 remove_cell_array_patterns . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3468
remove_cell_buses . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3469 remove_cells . . . . . . . .
..........................................
3471 remove_checkpoint_actions . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3472
remove_checkpoint_reports . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3473 remove_clock . . . . . . .
..........................................
3474 remove_clock_balance_groups . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3475
remove_clock_balance_points . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3476
remove_clock_cell_spacings . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3478 remove_clock_drivers . .
.........................................
3479 remove_clock_exclusivity . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3480
remove_clock_gating_check . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3481 remove_clock_groups .
..........................................
3483

40
3514 remove_dff_connection_files . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3516
Contents
Feedback remove_dff_trace_filters . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3516 remove_dft_location . .
..........................................
3518 remove_dft_signal . . . . . . . . . . . . . . . . . . . . . .
remove_clock_jitter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3519
. . . . . . . . . . . . . . . . . . . 3484 remove_clock_latency remove_disable_clock_gating_check . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3520 remove_disable_timing
.3486 remove_clock_routing_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3488 3521 remove_drc_error_data . . . . . . . . . . . . . . . . . .
remove_clock_sense . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3522
. . . . . . . . . . . . . . . . . . .3490 remove_drc_error_types . . . . . . . . . . . . . . . . . . . . . .
remove_clock_skew_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3524
. . . . . . . . . . . . . . . . . . .3490 remove_drc_error_waivers . . . . . . . . . . . . . . . . . . . .
remove_clock_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3525 remove_drc_errors . . .
. . . . . . . . . . . . . . . . . . . 3491 ..........................................
remove_clock_tree_options . . . . . . . . . . . . . . . . . . . . 3526 remove_drive_resistance . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3493 . . . . . . . . . . . . . . . . . . . . . . . 3527
remove_clock_tree_reference_subset . . . . . . . . . . . .remove_driving_cell . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3494 remove_clock_trees . . . . . . . . . . . . . . . . . . . . . 3530
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . remove_duplicate_timing_contexts . . . . . . . . . . . . . .
3495 remove_clock_trunk_endpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3532
. . . . . . . . . . . . . . . . . . . . . . . 3496 remove_early_data_check_records . . . . . . . . . . . . . .
remove_clock_uncertainty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3534
. . . . . . . . . . . . . . . . . . .3498 remove_clocks . . . . . . . remove_eco_bus_buffer_patterns . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3535 remove_eco_repeater .
3502 remove_colors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3503 3536
remove_command_hook . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3504 remove_comments . . .
..........................................
3505 remove_constraint_groups . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3506 remove_corners .
..........................................
. . . . 3507 remove_ctp_constraints . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3508
remove_custom_shields . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3509 remove_cut_metals . .
..........................................
.3510 remove_data_check . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3510
remove_density_rules . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3513 remove_design_rules .
..........................................
Contents
Feedback

remove_edit_groups . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3538 remove_ems_rules . . .
..........................................
3539 remove_environment . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3541
remove_failsafe_fsm_groups . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3541
remove_failsafe_fsm_rules . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3542
remove_feasibility_constraints . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3543 remove_feature_tables .
.........................................
3544 remove_feedthroughs . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3545 remove_fill_cells .
..........................................
. . . . 3546 remove_floorplan_rules . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .3547
remove_from_bound . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3549 remove_from_bundle .
..........................................
3551 remove_from_collection . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3552
remove_from_edit_group . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3553 remove_from_group . . .
.........................................
3554 remove_from_io_guide . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3555
remove_from_io_ring . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3556
remove_from_matching_type . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3557
remove_from_multisource_clock_sink_group . . . . . .
. . . . . . . . . . . . . . . . . . .3559 remove_from_net . . . . .
.........................................
3560 remove_from_net_bus . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3561
remove_from_pin_blockage . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3562
41 remove_from_pin_guide . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3563
remove_from_placement_attraction . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3564 remove_from_port_bus
..........................................
3566 remove_from_routing_corridor . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3567
remove_from_rp_group . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3568
remove_generated_clock . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3570
remove_generated_clocks . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3571 remove_grids . . . . . . . .
.........................................
.3572 remove_groups . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3573
remove_host_options . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3574 remove_hot_spots . . .
..........................................
.3575 remove_ideal_latency . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3576
remove_ideal_network . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3578 remove_ideal_transition
.........................................
3579 remove_ignored_layers . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3581
remove_implement_topology_repeaters . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3586
remove_individual_pin_constraints . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3588

42
. . . . . . . . . . . . . . . . . . . 3612
remove_matching_types . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . 3613 remove_materials . . . .
..........................................
3614 remove_max_capacitance . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3615
remove_input_delay . . . . . . . . . . . . . . . . . . . . . . . . . remove_max_fanout . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3589 remove_io_filler_cells . . . . . . . . . . . . . . . . . . . . 3617
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . remove_max_lvth_percentages . . . . . . . . . . . . . . . . .
3591 remove_io_guides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3617
. . . . . . . . . . . . . . . . . . . . . . . .3592 remove_io_rings remove_max_time_borrow . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3618
. . . . . 3594 remove_ivm . . . . . . . . . . . . . . . . . . . . . . remove_max_transition . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .3595 . . . . . . . . . . . . . . . . . . .3620
remove_keepout_margins . . . . . . . . . . . . . . . . . . . . . remove_metal_area_holes . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3596 remove_layer_map_file . . . . . . . . . . . . . . . . . . . 3622 remove_metal_areas .
......................................... ..........................................
.3596 remove_layers . . . . . . . . . . . . . . . . . . . . . . . . . .3623 remove_min_capacitance . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3597 . . . . . . . . . . . . . . . . . . . . . . . . 3624
remove_lib_cell_family . . . . . . . . . . . . . . . . . . . . . . . remove_min_pulse_width . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3599 . . . . . . . . . . . . . . . . . . . 3627
remove_lib_cell_family_rule . . . . . . . . . . . . . . . . . . . remove_missing_via_check_options . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3599 . . . . . . . . . . . . . . . . . . 3629 remove_ml_db . . . . . . .
remove_lib_cell_naming_keywords . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3600 .3631 remove_ml_db_record . . . . . . . . . . . . . . . . . . .
remove_lib_cells_from_family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3632
. . . . . . . . . . . . . . . . . . .3601 remove_libcell_subset . remove_modeless_antenna_rules . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3633 remove_modes . . . . . . .
.3602 remove_library_gaps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3603 3634
remove_library_redundancies . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3604 remove_libset . . . . . . .
..........................................
3605 remove_license . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3606 remove_licenses .
..........................................
. . . . 3607 remove_macro_constraints . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .3608
remove_macro_groups . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3610
remove_macro_relative_location . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3610
remove_macros_from_group . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3611
remove_manufacturing_shapes . . . . . . . . . . . . . . . .
Contents
Feedback

remove_modules . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3635
remove_multibit_options . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3636
remove_multisource_clock_sink_groups . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3638
remove_multisource_clock_subtree_constraints . . . .
. . . . . . . . . . . . . . . . . . 3639
remove_multisource_clock_subtree_options . . . . . . .
. . . . . . . . . . . . . . . . . . 3642
remove_multisource_clock_tap_options . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3645
remove_multisource_global_clock_trees . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3646 remove_net . . . . . . . . . .
.........................................
3648 remove_net_buses . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3650
remove_net_estimation_rules . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3651
remove_net_weight_effort . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3653 remove_nets . . . . . . . .
..........................................
3654 remove_new_lib_cell_functions . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3655
remove_noise_margin . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3656 remove_objects . . . . . .
.........................................
.3658 remove_ocvm . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3659
remove_output_delay . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3661 remove_path_group . .
..........................................
3663 remove_path_groups . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3665
remove_path_margin . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3666
remove_pg_mask_constraints . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3667 remove_pg_patterns . . .
43 .........................................
3668 remove_pg_regions . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3669
remove_pg_strategies . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3670
remove_pg_strategy_via_rules . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3671
remove_pg_via_master_rules . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3672
remove_physical_constraints . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3673
remove_physical_objects . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3674 remove_physical_rules
..........................................
3675 remove_pin_blockages . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3676
remove_pin_buses . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3677
remove_pin_constraints . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3679 remove_pin_guides . . .
.........................................
.3680 remove_pin_name_synonym . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3681 remove_pins . . . .
..........................................
. . . . 3682 remove_pins_from_virtual_connection . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3684
remove_placement_attractions . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3685
remove_placement_blockages . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3686
remove_placement_spacing_rules . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3688

44
remove_regular_multisource_clock_tree_options . . .
. . . . . . . . . . . . . . . . . . .3714
Contents
Feedback remove_repeater_group_constraints . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3714
remove_repeater_groups . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3716
remove_pop_up_object_options . . . . . . . . . . . . . . . . remove_repeater_paths_info . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3689 remove_port_buses . . . . . . . . . . . . . . . . . . . . 3716
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . remove_reverse_index_max_transition . . . . . . . . . . .
.3690 remove_ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3718
. . . . . . . . . . . . . . . . . . . . . . . 3692 remove_route_aware_estimation . . . . . . . . . . . . . . .
remove_post_route_filler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3719 remove_routes . . . . . .
. . . . . . . . . . . . . . . . . . .3693 ..........................................
remove_power_io_constraints . . . . . . . . . . . . . . . . . . 3720 remove_routing_blockages . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3694 remove_power_profiles . . . . . . . . . . . . . . . . . . . . . . . 3723
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . remove_routing_corridor_shapes . . . . . . . . . . . . . . .
.3695 remove_power_taps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3724
. . . . . . . . . . . . . . . . . . . . . . . . 3696 remove_pr_rules remove_routing_corridors . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3725
. . . . . 3697 remove_process_layers . . . . . . . . . . . . . remove_routing_guides . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3698
. . . . . . . . . . . . . . . . . . .3727 remove_routing_rules .
remove_programmable_spare_cell_mapping_rule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3699 3728 remove_rp_group_options . . . . . . . . . . . . . . . .
remove_propagated_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3729
. . . . . . . . . . . . . . . . . . . 3700 remove_rp_groups . . . . . . . . . . . . . . . . . . . . . . . . . . .
remove_propagated_clocks . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . 3730 remove_rulers . . . . . . . .
. . . . . . . . . . . . . . . . . . 3701 .........................................
remove_psc_spare_cell_history . . . . . . . . . . . . . . . . 3732 remove_sadp_track_rule . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3702 . . . . . . . . . . . . . . . . . . . . . . . .3733
remove_pseudo_bumps . . . . . . . . . . . . . . . . . . . . . . remove_safety_control_group . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3703 . . . . . . . . . . . . . . . . . . .3734
remove_pseudo_tsv_defs . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3704 remove_pseudo_tsvs .
..........................................
3705 remove_purposes . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3706
remove_push_down_object_options . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3707 remove_qor_snapshot .
.........................................
.3708 remove_rail_scenario . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3709
remove_redundant_shapes . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3710
remove_reference_only_related_supply . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3713
Contents
Feedback

remove_safety_core_groups . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3735
remove_safety_core_rules . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3736
remove_safety_error_code_groups . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3737
remove_safety_error_code_rules . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3737
remove_safety_logic_port_map . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3738
remove_safety_peer_pin_groups . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3739
remove_safety_register_groups . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3740
remove_safety_register_rules . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3741
remove_scaling_lib_group . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3742 remove_scan_def . . . . .
.........................................
3743 remove_scan_skew_group . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3743
remove_scenarios . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3745 remove_sdc . . . . . . . . .
.........................................
.3746
remove_secondary_pg_placement_constraints . . . .
. . . . . . . . . . . . . . . . . . . 3748 remove_sense . . . . . .
..........................................
.3750 remove_shape_patterns . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3751 remove_shapes
..........................................
. . . . . .3752 remove_shaping_blockages . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3753
remove_shaping_channels . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3755
remove_shaping_constraints . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3756
remove_shield_association . . . . . . . . . . . . . . . . . . . .
45 . . . . . . . . . . . . . . . . . . .3756
remove_si_delay_analysis . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3758
remove_signal_io_constraints . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3760 remove_site_arrays . . .
.........................................
.3761 remove_site_defs . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3762
remove_site_rows . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3764 remove_skew_macros
..........................................
3765 remove_spf_constraint . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3766
remove_statements . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3766
remove_stdcell_fillers_with_violation . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3767 remove_stub_chains . . .
.........................................
3771 remove_supernet_exceptions . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3772
remove_supernets . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3774
remove_switch_place_holder_cells . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3774
remove_tap_boundary_wall_cell_rules . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3775 remove_taps . . . . . . . .
..........................................
3776 remove_target_library_subset . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3777 remove_tech . . .
..........................................
. . . . . 3778 remove_terminals . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3779

46
.........................................
3806 remove_via_rules . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . . . . . 3807 remove_vias . . . .
..........................................
. . . . 3808 remove_virtual_connections . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3810
remove_test_model . . . . . . . . . . . . . . . . . . . . . . . . . . remove_virtual_pads . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3780 . . . . . . . . . . . . . . . . . . .3811
remove_test_point_element . . . . . . . . . . . . . . . . . . . remove_voltage_area_rules . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3781 remove_test_protocol . . . . . . . . . . . . . . . . . . . . 3812
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . remove_voltage_area_shapes . . . . . . . . . . . . . . . . .
3782 remove_tie_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3813 remove_voltage_areas
. . . . . . . . . . . . . . . . . . . . . . . 3783 ..........................................
remove_timing_paths_disabled_blocks . . . . . . . . . . . 3815 remove_waiver_containers . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3783 . . . . . . . . . . . . . . . . . . . . . . . 3816 rename . . . . . . . .
remove_topological_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3784 . . . . 3817 rename_block . . . . . . . . . . . . . . . . . . . . . .
remove_topology_edges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3818
. . . . . . . . . . . . . . . . . . .3785 rename_module . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
remove_topology_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3821 reopen_block . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3786 .........................................
remove_topology_nodes . . . . . . . . . . . . . . . . . . . . . . .3823 reparent_cells . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3788 remove_topology_plans. . . . . . . . . . . . . . . . . . . . . . . . 3826
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . replace_clock_gates . . . . . . . . . . . . . . . . . . . . . . . . .
3789 remove_topology_repeaters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3829
. . . . . . . . . . . . . . . . . . . . . . . 3790 replace_fillers_by_rules . . . . . . . . . . . . . . . . . . . . . . .
remove_topology_routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3831 report_3d_channels . . .
. . . . . . . . . . . . . . . . . . . 3792 .........................................
remove_track_constraint . . . . . . . . . . . . . . . . . . . . . . .3842
. . . . . . . . . . . . . . . . . . .3793 remove_tracks . . . . . . .
.........................................
.3794 remove_utilization_configurations . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .3796
remove_verification_priority . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3798 remove_via_defs . . . . .
.........................................
.3798 remove_via_ladder_constraints . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3800
remove_via_ladder_rules . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3801 remove_via_ladders . .
..........................................
3802 remove_via_mappings . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3803
remove_via_matrixes . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3804 remove_via_regions . . .
Contents
Feedback

report_3d_chip_placement . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3843
report_abstract_inclusion_reason . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3845 report_abstracts . . . . .
..........................................
3846 report_access_control_configuration . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3847
report_active_clips . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3848 report_activity . . . . . . . .
.........................................
3849 report_activity_statistics . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3858
report_alignment_marker_rules . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3865 report_annotated_check
.........................................
3866 report_annotated_delay . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3867
report_annotated_power . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3869
report_annotated_transition . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3871 report_antenna_rules . .
.........................................
3873 report_app_options . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3875 report_app_var . .
..........................................
. . . . 3879 report_area . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3881
report_async_gated_registers . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3885 report_attachments . . .
..........................................
3886 report_attribute . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3888 report_attributes .
..........................................
. . . . 3890 report_auto_floorplan_constraints . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .3892
report_auto_partition_constraints . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3895 report_auto_save . . . .
..........................................
47 3896 report_autofix_configuration . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3897
report_autoungroup_options . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3898
report_background_jobs . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3898
report_block_pin_constraints . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3899 report_block_shaping . .
.........................................
3900 report_block_to_top_map . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3903
report_boundary_cell_rules . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3904
report_boundary_optimization . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3905 report_bounds . . . . . . .
..........................................
3907 report_budget . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3908
report_budget_options . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3917 report_buffer_trees . . . .
.........................................
3917 report_bundle_pin_constraints . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3918 report_bundles . .
..........................................
. . . . 3921 report_busplan_constraints . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .3921
report_busplans . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .3922

48
. . . . . . . . . . . . . . . . . . . 4002
report_clock_gating_enable_condition . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . 4007
report_clock_gating_objects . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4008
report_clock_gating_options . . . . . . . . . . . . . . . . . . .
report_case_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4011
. . . . . . . . . . . . . . . . . . 3924 report_ccd_timing . . . . . report_clock_gating_tree_options . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4015 report_clock_jitter . . . .
3926 report_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3931 4016 report_clock_power . . . . . . . . . . . . . . . . . . . . .
report_cell_array_patterns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4018 report_clock_qor
. . . . . . . . . . . . . . . . . . 3937 report_cell_buses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4020 report_clock_routing_rules . . . . . . . . . . .
3939 report_cell_em . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4043
. . . . . . . . . . . . . . . . . . . . . . . .3943 report_clock_settings . . . . . . . . . . . . . . . . . . . . . . . . .
report_cell_em_profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4045
. . . . . . . . . . . . . . . . . . .3944 report_clock_skew_groups . . . . . . . . . . . . . . . . . . . .
report_cell_feasible_space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4046 report_clock_timing . . .
. . . . . . . . . . . . . . . . . . . 3945 report_cell_modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4048 report_clock_tree_options . . . . . . . . . . . . . . . .
.3947 report_cell_pin_access . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4061
. . . . . . . . . . . . . . . . . . . . . . . . 3949 report_clock_tree_reference_subset . . . . . . . . . . . . .
report_cell_robustness . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4062
. . . . . . . . . . . . . . . . . . . 3950 report_cells . . . . . . . . . report_clock_trunk_endpoints . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4063 report_clock_trunk_qor
3954 report_check_design_strategy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 3960 4065 report_clocks . . . . . . . . . . . . . . . . . . . . . . . . . .
report_checkpoint_options . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . .4067
. . . . . . . . . . . . . . . . . . 3963 report_clock . . . . . . . . .
.........................................
.3964 report_clock_balance_groups . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3968
report_clock_balance_points . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3969
report_clock_cell_spacings . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 3971
report_clock_gate_latency . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 3972 report_clock_gating . . .
.........................................
.3979 report_clock_gating_check . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 3998
report_clock_gating_checks . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4000
report_clock_gating_efficiency . . . . . . . . . . . . . . . . .
Contents
Feedback

report_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4072 report_comments . . . .
..........................................
4077 report_congestion . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4078
report_constant_registers . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4085 report_constraint . . . . .
..........................................
4086 report_constraint_groups . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4089
report_constraint_mapping_file . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4092 report_constraints . . . .
..........................................
4094 report_corners . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4098
report_cross_probing . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4099
report_cross_probing_files . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4105 report_crpr . . . . . . . . .
..........................................
.4107 report_ctp_constraints . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4114
report_datapath_architecture_options . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4115
report_datapath_gating_options . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4116
report_delay_calculation . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4117
report_density_gradient_options . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4127 report_design . . . . . . . .
.........................................
4128 report_design_mismatch . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4145
report_design_rules . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4152
report_device_constraint . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4154 report_device_group . .
..........................................
49 4155 report_dff_connections . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4161 report_dft . . . . . .
..........................................
. . . . .4165 report_dft_clock_controller . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 4170
report_dft_clock_gating_configuration . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4171
report_dft_clock_gating_pin . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4171 report_dft_configuration
.........................................
4173 report_dft_drc_configuration . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4173
report_dft_drc_violations . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4174
report_dft_insertion_configuration . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4176 report_dft_isolation . . .
..........................................
4177 report_dft_location . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4178 report_dft_signal
..........................................
. . . . . 4179 report_disable_tie_insert . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .4180
report_disable_timing . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4181 report_dont_touch . . . .
..........................................
4184 report_dp_strategy . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4185 report_drc_errors
..........................................
. . . . .4186

50
. . . . . . . . . . . . . . . . . . . 4239 report_freeze_ports . .
..........................................
Contents
Feedback .4242 report_fsm . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4243
report_global_timing . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4245 report_grids . . . . . . . . .
report_early_data_checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4191 4252 report_groups . . . . . . . . . . . . . . . . . . . . . . . . . .
report_eco_bus_buffer_patterns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4254
. . . . . . . . . . . . . . . . . . . 4195 report_gui_stroke_bindings . . . . . . . . . . . . . . . . . . . .
report_eco_physical_changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4256
. . . . . . . . . . . . . . . . . . 4197 report_gui_stroke_builtins . . . . . . . . . . . . . . . . . . . . .
report_eco_placement_net_weight . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4257 report_hdl_libraries . . .
. . . . . . . . . . . . . . . . . . . 4204 report_edit_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4258 report_hier_check_description . . . . . . . . . . . . .
4205 report_editability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4259 report_hierarchy .
. . . . . . . . . . . . . . . . . . . . . . . 4207 ..........................................
report_ems_database . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4260 report_hierarchy_options . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4209 report_ems_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4262
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . report_host_options . . . . . . . . . . . . . . . . . . . . . . . . . .
4210 report_environments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4263 report_hot_spots . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4211 ..........................................
report_essential_points . . . . . . . . . . . . . . . . . . . . . . . 4264 report_ideal_network . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4212 . . . . . . . . . . . . . . . . . . . . . . . .4266
report_estimated_clock_latency . . . . . . . . . . . . . . . . report_ieee_1500_configuration . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4215 report_exceptions . . . . . . . . . . . . . . . . . . . . . . . 4270 report_ignored_layers .
....................................................................................
4219 report_extract_model_options . . . . . . . . . . . . . 4271 report_incomplete_upf . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4224 . . . . . . . . . . . . . . . . . . . . . . . .4272
report_extraction_options . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4224
report_failsafe_fsm_groups . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4225
report_failsafe_fsm_rules . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4226
report_fanin_sequential_clock_gating_objects . . . . .
. . . . . . . . . . . . . . . . . . . 4227
report_fanin_sequential_clock_gating_options . . . . .
. . . . . . . . . . . . . . . . . . . 4230 report_feedthroughs . .
..........................................
4232 report_first_track_line . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4234
report_floorplan_rules . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4236
report_frame_properties . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

report_indesign_primepower_options . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4275
report_individual_pin_constraints . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4276 report_io_guides . . . . .
..........................................
4278 report_io_rings . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4279
report_isolate_ports . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4281 report_ivm . . . . . . . . . .
..........................................
4283 report_keepout_margins . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4284
report_latch_loop_groups . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4285 report_lc_status . . . . .
..........................................
.4287 report_leakage_weight . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4288
report_lexical_ignored_lib_cells . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4289
report_lexical_unclassified_lib_cells . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4289 report_lib . . . . . . . . . . .
..........................................
4290 report_lib_cell_classification_attributes . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4299
report_lib_cell_families . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4301
report_lib_cell_family_assignments . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4302
report_lib_cell_family_rules . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4303
report_lib_cell_naming_convention . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4303
report_lib_cell_naming_keywords . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4305 report_lib_cells . . . . . . .
.........................................
4306 report_lib_pins . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4307
report_lib_timing_arcs . . . . . . . . . . . . . . . . . . . . . . . .
51 . . . . . . . . . . . . . . . . . . .4308 report_libcell_subset . .
..........................................
4310 report_library_analysis . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4311 report_libset . . . .
..........................................
. . . . .4312 report_logic_levels . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 4313
report_logic_lock_configuration . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4321
report_macro_constraints . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4323
report_macro_relative_location . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4325
report_manufacturing_shapes . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4326 report_matching_types .
.........................................
4327 report_metal_area_holes . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4328
report_metal_areas . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4329 report_mibs . . . . . . . . .
..........................................
4331 report_mim_capacitor . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4333 report_min_period
..........................................
. . . . 4333 report_min_pulse_width . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 4339
report_mismatch_configs . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4343
report_missing_via_check_options . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4355

52
4407 report_net_weight_effort . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4410 report_nets . . . . .
Contents
Feedback ..........................................
. . . . 4410 report_new_lib_cell_functions . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .4415
report_new_lib_cell_specification . . . . . . . . . . . . . . .
report_modeless_antenna_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4416 report_noise . . . . . . . .
. . . . . . . . . . . . . . . . . . .4355 report_modes . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4418 report_obfuscation_configuration . . . . . . . . . . .
4356 report_module_connectivity_congestion . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4420 report_ocvm . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4357 report_msg . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4421 report_optimization_history . . . . . . . . . . . .
. . . . 4358 report_multi_input_switching_coefficient . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4429
. . . . . . . . . . . . . . . . . . . . . . . . . . . .4360 report_parallel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
report_multi_vth_constraint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4430
. . . . . . . . . . . . . . . . . . .4361 report_multibit . . . . . . . report_parasitic_parameters . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4433 report_parasitics . . . . .
4362 report_multibit_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4365 4434 report_parasitics_derate . . . . . . . . . . . . . . . . . .
report_multisource_clock_sink_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4436
. . . . . . . . . . . . . . . . . . .4367 report_path_group . . . . . . . . . . . . . . . . . . . . . . . . . . .
report_multisource_clock_subtree_constraints . . . . . . . . . . . . . . . . . . . . . . . .4438 report_path_groups . . .
. . . . . . . . . . . . . . . . . . .4368 .........................................
report_multisource_clock_subtree_options . . . . . . . . .4440 report_pg_mask_constraints . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4370 . . . . . . . . . . . . . . . . . . . . . . . .4442
report_multisource_clock_tap_options . . . . . . . . . . . report_pg_patterns . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4371 . . . . . . . . . . . . . . . . . . . 4443
report_multistage_timing . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4372 report_mv_cells . . . . . .
.........................................
.4375 report_mv_design . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4379
report_mv_lib_cells . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4380 report_mv_path . . . . . .
..........................................
4384 report_name_rules . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4396 report_names . . .
..........................................
. . . . 4397 report_net . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 4399
report_net_buses . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4404
report_net_estimation_rules . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4405 report_net_fanout . . . .
..........................................
Contents
Feedback

report_pg_regions . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4445
report_pg_routing_mode . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4446 report_pg_strategies . .
..........................................
4447 report_pg_strategy_via_rules . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4449
report_pg_via_master_rules . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4450 report_pin_blockages .
..........................................
4451 report_pin_buses . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4453
report_pin_constraints . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4454 report_pin_guides . . . .
..........................................
4456 report_pin_name_synonym . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4458
report_pin_placement . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4459
report_pipeline_scan_data_configuration . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4461
report_pipeline_scan_enable_configuration . . . . . . .
. . . . . . . . . . . . . . . . . . . 4462 report_placement . . . .
..........................................
4463 report_placement_attractions . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4470
report_placement_ir_drop_target . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4471
report_placement_spacing_rules . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4472
report_pop_up_object_options . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4473 report_port . . . . . . . . .
..........................................
.4474 report_port_buses . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4476
report_port_protection_diodes . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4478 report_ports . . . . . . . . .
.........................................
53 .4479 report_power . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4481
report_power_budget . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4489
report_power_calculation . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4491
report_power_clock_scaling . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4496 report_power_derate .
..........................................
.4497 report_power_domain . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4500
report_power_domains . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4503 report_power_groups .
..........................................
4507 report_power_io_constraints . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4508
report_power_model . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4509 report_power_scopes .
..........................................
4511 report_power_switch_patterns . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4512
report_power_switch_placement_patterns . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4513
report_power_switch_resistance . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4514 report_power_taps . . . .
.........................................
4515 report_pr_rules . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4517
report_programmable_spare_cell_mapping_rule . . .
. . . . . . . . . . . . . . . . . . . 4519

54
. . . . . . . . . . . . . . . . . . . . . . . . . . . .4564
report_sadp_track_rule . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . 4567
report_safety_core_groups . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4569
report_safety_core_rules . . . . . . . . . . . . . . . . . . . . . .
report_pst . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . .4570
. . . . . . . . . . . . . . . . . . 4520 report_pt_options . . . . . report_safety_error_code_groups . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4570
4522 report_push_down_object_options . . . . . . . . . . report_safety_error_code_rules . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4523 report_pvt . . . . . . . . . . . . . . . . . . . . . . . . 4571
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . report_safety_logic_port_map . . . . . . . . . . . . . . . . . .
. . . . 4524 report_qor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4572
. . . . . . . . . . . . . . . . . . . . . . . . . . . 4526 report_safety_peer_pin_groups . . . . . . . . . . . . . . . . .
report_qor_snapshot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4573
. . . . . . . . . . . . . . . . . . . 4529 report_safety_register_groups . . . . . . . . . . . . . . . . . .
report_rail_command_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4574
. . . . . . . . . . . . . . . . . . .4531 report_safety_register_rules . . . . . . . . . . . . . . . . . . .
report_rail_minimum_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4575 report_safety_status . .
. . . . . . . . . . . . . . . . . . .4531 report_rail_result . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4576 report_scan_compression_configuration . . . . .
4534 report_rail_scenario . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4583
. . . . . . . . . . . . . . . . . . . . . . . .4538 report_rdl_routes report_scan_configuration . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4584 report_scan_group . . . .
. . . . .4539 report_ref_libs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 4540 4585 report_scan_path . . . . . . . . . . . . . . . . . . . . . . .
report_reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4586
. . . . . . . . . . . . . . . . . . . 4542 report_references . . . . report_scan_skew_group . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4590
4544 report_regular_multisource_clock_tree_options
. . . . . . . . . . . . . . . . . . . . . . .4547
report_removed_size_only_cells . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4548
report_repeater_group_constraints . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4549 report_repeater_groups
.........................................
.4550 report_resources . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4551
report_routing_corridors . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4555 report_routing_guides .
..........................................
4558 report_routing_rules . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4559 report_rp_groups
..........................................
. . . . .4561 report_rx_width_violations . . . . . . . . . . . .
Contents
Feedback

report_scan_suppress_toggling . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4592 report_scenarios . . . . . .
.........................................
4594 report_script_runtime . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4595
report_secondary_pg_placement_constraints . . . . . .
. . . . . . . . . . . . . . . . . . 4596
report_security_configuration . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4597
report_self_gating_objects . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4599
report_self_gating_options . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4601
report_serialize_configuration . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4603 report_shape_patterns
..........................................
4604 report_shaping_channels . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4606
report_shaping_constraints . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4608 report_shaping_options
.........................................
.4610 report_shields . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4610
report_si_calculation . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4614
report_si_delay_analysis . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4617 report_signal_em . . . . .
.........................................
.4619 report_signal_io_constraints . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4620 report_site_defs
..........................................
. . . . . .4621 report_size_only . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4623
report_skew_macros . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4625
report_soft_macro_bypass_segments . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4626 report_spf_constraint . .
.........................................
55 .4627 report_stage . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4628
report_starrc_in_design . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4631 report_starrc_options . .
.........................................
4632 report_statements . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4633
report_stub_chains . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4634
report_supernet_exceptions . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4637 report_supply_net . . . .
..........................................
4638 report_supply_net_groups . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4640
report_supply_nets . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4641 report_supply_ports . .
..........................................
.4643 report_supply_sets . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4644
report_switching_activity . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4646 report_syncff_libcells .
..........................................
.4655 report_synlib . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4656
report_synlib_history . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4659
report_tap_boundary_wall_cell_rules . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4661 report_taps . . . . . . . . .
..........................................
4662

56
. . . . . . . . . . . . . . . . . . . . . . . .4731 report_ungroup .
..........................................
Contents
Feedback . . . . . 4735 report_units . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 4736
report_unloaded_registers . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4738 report_user_units . . . . .
report_target_library_subset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4665 report_tbcs . . . . . . . . . 4738 report_utilization . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4740
4666 report_tech_diff . . . . . . . . . . . . . . . . . . . . . . . . . report_vclp_options . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4669 . . . . . . . . . . . . . . . . . . .4746 report_versions . . . . . .
report_test_assume . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4671 4747 report_via_defs . . . . . . . . . . . . . . . . . . . . . . . . .
report_test_point_configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4748
. . . . . . . . . . . . . . . . . . .4672 report_via_ladder_candidates . . . . . . . . . . . . . . . . . .
report_test_point_element . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4750
. . . . . . . . . . . . . . . . . . .4673 report_via_ladder_constraints . . . . . . . . . . . . . . . . . .
report_testability_configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4752 report_via_ladder_rules
. . . . . . . . . . . . . . . . . . . 4673 .........................................
report_threshold_voltage_group . . . . . . . . . . . . . . . . .4754 report_via_ladder_summary . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4674 . . . . . . . . . . . . . . . . . . . . . . . . 4755
report_threshold_voltage_groups . . . . . . . . . . . . . . . report_via_ladders . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4683 report_timing . . . . . . . . . . . . . . . . . . . . . . . . . . .4756 report_via_mapping . . .
...................................................................................
4692 report_timing_complexity . . . . . . . . . . . . . . . . . .4758 report_via_matrixes . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4702 . . . . . . . . . . . . . . . . . . . . . . . .4759
report_timing_derate . . . . . . . . . . . . . . . . . . . . . . . . . report_via_regions . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4705 report_tool_options . . . . . . . . . . . . . . . . . . . . . .4761
..........................................
4710 report_topological_constraints . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4712
report_topology_plan_registers . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4714 report_topology_plans .
.........................................
.4715 report_trace . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4717
report_track_constraints . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4720 report_tracks . . . . . . . .
..........................................
4722 report_transformed_registers . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4724
report_transitive_fanin . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4727 report_transitive_fanout
.........................................
.4729 report_unbound . . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

report_via_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4763 report_virtual_pads . . .
..........................................
4765 report_voltage_area_rules . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4766
report_voltage_areas . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4767 report_vopt_qor . . . . . .
.........................................
.4770 report_watermark_configuration . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4770
report_wirelength . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4772
report_wrapper_configuration . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4776
reset_access_control_configuration . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4777 reset_app_options . . .
..........................................
.4778 reset_block_power . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4780 reset_cell_mode .
..........................................
. . . . 4781 reset_checkpoints . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 4782
reset_clock_gate_latency . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4784 reset_design . . . . . . . .
..........................................
4785 reset_device_constraint . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4786
reset_dft_configuration . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4787
reset_dft_drc_configuration . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4787
reset_dft_insertion_configuration . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4788 reset_disable_tie_insert
.........................................
4789 reset_early_power_switch_cells . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4790
reset_indesign_primepower_options . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4791 reset_leakage_weight . .
57 .........................................
4791 reset_lib_cell_classification_attributes . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4792
reset_lib_cell_naming_convention . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4793
reset_logic_lock_configuration . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4794
reset_multi_input_switching_coefficient . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4796
reset_multi_vth_constraint . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4796
reset_obfuscation_configuration . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4797
reset_ocvm_table_group . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4798 reset_parasitics_derate
.........................................
.4799 reset_path . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4802 reset_paths . . . .
..........................................
. . . . . 4807 reset_pipeline_scan_data_configuration
. . . . . . . . . . . . . . . . . . . . . . . . . . . . .4812
reset_placement . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4812
reset_placement_ir_drop_target . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4813 reset_power_budget . .
..........................................
4814 reset_power_clock_scaling . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4815
reset_power_derate . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4817

58
revert_blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4848 revert_cell_sizing . . . . .
Contents
Feedback .........................................
.4850 revert_eco_changes . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4851
rotate_block_contents . . . . . . . . . . . . . . . . . . . . . . . .
reset_power_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4852 rotate_def_objects . . .
. . . . . . . . . . . . . . . . . . . 4818 ..........................................
reset_power_switch_resistance . . . . . . . . . . . . . . . . ..4854 rotate_objects . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4820 reset_pvt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4858
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . route_3d_channels . . . . . . . . . . . . . . . . . . . . . . . . . .
4821 reset_rail_command_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4861 route_3d_rdl . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4821 reset_rail_probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4866 route_auto . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . .4822 reset_scan_configuration . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . 4869 route_busplans . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 4822 ..........................................
reset_scan_suppress_toggling . . . . . . . . . . . . . . . . . . . . . 4872 route_clock_straps . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4823 . . . . . . . . . . . . . . . . . . . . . . . . . . . 4875
reset_security_configuration . . . . . . . . . . . . . . . . . . . route_custom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4824 . . . . . . . . . . . . . . . . . . .4878 route_detail . . . . . . . . .
reset_soft_macro_bypass_segments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4825 reset_spf_constraint . . 4878 route_eco . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4881
4825 reset_supply_net_probability . . . . . . . . . . . . . . route_eco_after_gre . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4826 . . . . . . . . . . . . . . . . . . . 4885 route_fishbone . . . . . .
reset_switching_activity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4827 reset_test_mode . . . . . .4887
..........................................
4830 reset_testability_configuration . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4830
reset_timing_derate . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4831 reset_upf . . . . . . . . . . .
..........................................
4834 reset_via_ladder_candidates . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4836
reset_watermark_configuration . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4836
reset_wrapper_configuration . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4838 reshape_objects . . . . .
..........................................
4838 resize_objects . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4841 resize_polygons .
..........................................
. . . . 4845 resolve_pg_nets . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 4847
Contents
Feedback

route_global . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4889 route_group . . . . . . . . .
.........................................
.4892 route_opt . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4896
route_rdl_differential . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4896 route_rdl_flip_chip . . .
..........................................
.4898 route_track . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4901
run_block_compile_pg . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4903 run_block_script . . . . . .
.........................................
4904 run_custom_compiler . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4908
run_design_driven_analysis . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4910 run_library_analysis . .
..........................................
4912 run_library_design_cooptimization . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4913 run_monitor_gui .
..........................................
. . . . 4914 run_python . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 4916
run_test_point_analysis . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4918 run_vclp_cmd . . . . . . .
..........................................
4919
s.........................................
. . . . . . . . . . . . . . . . . . . . . . 4920 saif_map . . . . . . . .
..........................................
. . . 4920 save_block . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . 4925
save_drc_error_data . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4929 save_ems_database . .
..........................................
4930 save_feature_tables . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4933 save_lib . . . . . . . .
..........................................
59 . . . . 4934
save_secondary_pg_placement_constraints . . . . . . .
. . . . . . . . . . . . . . . . . . 4936 save_ssf . . . . . . . . . . . .
.........................................
4937 save_suggestion_libs . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4938 save_upf . . . . . . .
..........................................
. . . . 4940 select_mlmp_result . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 4942 send_status
..........................................
. . . . . . . . .4943 set_3d_multilevel_stacking . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4944
set_access_control_configuration . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4946 set_allow_new_cells . .
..........................................
4947 set_analyze_rtl_logic_level_threshold . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4948
set_annotated_check . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4950 set_annotated_delay . .
.........................................
.4954 set_annotated_power . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 4957
set_annotated_transition . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4959 set_aocvm_coefficient .
.........................................
.4961

60
. . . . . . . . . . . . . . . . . . . . . . . .5030
set_budget_shell_latencies . . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . .5036 set_bump_size . . . . . . .
.........................................
5038 set_bundle_pin_constraints . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5040
set_app_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . set_busplan_constraints . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4962 set_app_var . . . . . . . . . . . . . . . . . . . . . . . . . . . 5048 set_case_analysis . . .
....................................................................................
.4966 set_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . .5049 set_cdc_restrictions . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .4968 . . . . . . . . . . . . . . . . . . . . . . . .5051
set_auto_disable_drc_nets . . . . . . . . . . . . . . . . . . . . set_cell_hierarchy_type . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4971 . . . . . . . . . . . . . . . . . . .5053 set_cell_location . . . . .
set_auto_floorplan_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4973 5055 set_cell_mode . . . . . . . . . . . . . . . . . . . . . . . . . .
set_auto_partition_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5057 set_cell_site . . . .
. . . . . . . . . . . . . . . . . . 4977 ..........................................
set_autofix_configuration . . . . . . . . . . . . . . . . . . . . . . . . . . .5059 set_cell_vt_type . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4982 . . . . . . . . . . . . . . . . . . . . . . . . . . . .5061
set_autoungroup_options . . . . . . . . . . . . . . . . . . . . . set_checkpoint_options . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4984 set_base_lib . . . . . . . . . . . . . . . . . . . . . . . . . . .5062
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . set_clock_balance_points . . . . . . . . . . . . . . . . . . . . .
4985 set_blackbox_clock_port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5064 set_clock_cell_spacing
. . . . . . . . . . . . . . . . . . . . . . . .4987 ..........................................
set_blackbox_port_drive . . . . . . . . . . . . . . . . . . . . . . 5067 set_clock_exclusivity . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 4988 . . . . . . . . . . . . . . . . . . . . . . . .5069
set_blackbox_port_load . . . . . . . . . . . . . . . . . . . . . . . set_clock_gate_latency . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 4989 set_block_boundary . . . . . . . . . . . . . . . . . . . . . .5071
.........................................
4990 set_block_grid_references . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 4991
set_block_pin_constraints . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .4993 set_block_power . . . . .
..........................................
5000 set_block_to_top_map . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5002
set_boundary_budget_constraints . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5006 set_boundary_cell . . . . .
.........................................
5009 set_boundary_cell_rules . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5015
set_boundary_optimization . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5022 set_budget_margins . .
..........................................
5025 set_budget_options . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback

set_clock_gate_routing_rule . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5075 set_clock_gate_style .
..........................................
.5078 set_clock_gate_transformations . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5082
set_clock_gating_check . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5086
set_clock_gating_enable . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5090
set_clock_gating_objects . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5091
set_clock_gating_options . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5095
set_clock_gating_tree_options . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5098 set_clock_groups . . . .
..........................................
5100 set_clock_jitter . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5104
set_clock_latency . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5106
set_clock_routing_rules . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5111 set_clock_sense . . . . . .
.........................................
5113 set_clock_transition . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5114
set_clock_tree_options . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5116
set_clock_tree_reference_subset . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5119
set_clock_trunk_endpoints . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5120 set_clock_uncertainty .
..........................................
5122 set_colors . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5127
set_command_option_value . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5131
set_congestion_optimization . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5133
61 set_consistency_settings_options . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5134
set_constant_register_removal . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5136
set_constraint_mapping_file . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5138 set_corner_status . . . .
..........................................
5142 set_ctp_constraints . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5144
set_current_command_mode . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5147
set_current_ems_database . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5148
set_current_mismatch_config . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5149 set_current_spfm . . . .
..........................................
.5151 set_data_check . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5152
set_datapath_architecture_options . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5155
set_datapath_gating_options . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5162 set_datapath_rounding .
.........................................
5165 set_db_file_mapping . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5169
set_density_gradient_options . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5170 set_design_attributes .
..........................................
5173 set_design_rule_attribute . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5181 set_design_top . .
..........................................
. . . . 5182

62
set_drc_error_status_from_waivers . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5233 set_drive . . . . . . . . . . .
Contents
Feedback ..........................................
5234 set_drive_resistance . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5238 set_driving_cell . .
..........................................
set_device_constraint . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5240 set_early_data_check_policy . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5183 set_device_group_type. . . . . . . . . . . . . . . . . . . . . . . . . . . 5245
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . set_eco_placement_net_weight . . . . . . . . . . . . . . . .
5185 set_dft_clock_controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5248
. . . . . . . . . . . . . . . . . . . . . . . .5186 set_eco_power_intention . . . . . . . . . . . . . . . . . . . . . .
set_dft_clock_gating_configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5250 set_edit_setting . . . . . . .
. . . . . . . . . . . . . . . . . . .5189 .........................................
set_dft_clock_gating_pin . . . . . . . . . . . . . . . . . . . . . . 5252 set_editability . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5191 set_dft_configuration . . . . . . . . . . . . . . . . . . . . . . . . . .5255 set_edrc_setting
......................................... ..........................................
.5193 set_dft_drc_configuration . . . . . . . . . . . . . . . . . . . . . 5256 set_equivalent . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5194 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5260
set_dft_drc_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . set_etm_link_mode . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5199 . . . . . . . . . . . . . . . . . . . 5261
set_dft_equivalent_signals . . . . . . . . . . . . . . . . . . . . set_extract_model_options . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5200 . . . . . . . . . . . . . . . . . . . 5262 set_extraction_options
set_dft_insertion_configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5201 set_dft_isolation . . . . . 5265 set_failsafe_fsm_rule . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5272 set_false_path . .
5202 set_dft_location . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5204 set_dft_signal . . . . . . . .5274
..........................................
. . . . 5207 set_disable_auto_mux_clock_exclusivity .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 5219
set_disable_clock_gating_check . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5220 set_disable_tie_insert .
..........................................
5221 set_disable_timing . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5222
set_domain_supply_net . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5224 set_dont_retime . . . . . .
.........................................
.5225 set_dont_touch . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5227
set_dont_touch_network . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5229 set_dp_strategy . . . . .
..........................................
.5231 set_drc_error_status_from_containers . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5232
Contents
Feedback

set_fanin_sequential_clock_gating_objects . . . . . . .
. . . . . . . . . . . . . . . . . . . 5279
set_fanin_sequential_clock_gating_options . . . . . . .
. . . . . . . . . . . . . . . . . . . 5282 set_fanout_load . . . . .
..........................................
.5285 set_fix_multiple_port_nets . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5286 set_fixed_objects
..........................................
. . . . .5288 set_floorplan_area_rules . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .5289
set_floorplan_area_weight_rules . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5292
set_floorplan_composite_spacing_rules . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5294
set_floorplan_density_rules . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5299
set_floorplan_enclosure_rules . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5303
set_floorplan_exception_rules . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5308
set_floorplan_extension_rules . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5311
set_floorplan_forbidden_rules . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5313
set_floorplan_halo_rules . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5314
set_floorplan_length_rules . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5319
set_floorplan_location_rules . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5323
set_floorplan_reshape_rules . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5328
set_floorplan_rule_description . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5330
set_floorplan_spacing_rules . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5331
set_floorplan_unplaceable_area_extension_rules . .
. . . . . . . . . . . . . . . . . . . 5338
63 set_floorplan_width_rules . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5340 set_fm_eco_mode . . .
..........................................
.5345 set_freeze_ports . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5348 set_grid . . . . . . .
..........................................
. . . . . 5349 set_gui_stroke_binding . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 5352
set_gui_stroke_preferences . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5356 set_hierarchy_options .
..........................................
5359 set_host_options . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5360 set_hpc_options .
..........................................
. . . . 5366 set_ideal_latency . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .5367
set_ideal_network . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5370 set_ideal_transition . . .
..........................................
5371 set_ieee_1500_configuration . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5374
set_ignored_layers . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5375 set_implementation . . .
..........................................
5379 set_indesign_empower_options . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5380
set_indesign_primepower_options . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5383
set_individual_pin_constraints . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5388 set_input_delay . . . . . . .
.........................................
5394

64
. . . . . . . . . . . . . . . . . . . . . . . .5450
set_macro_relative_location . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . 5451 set_max_capacitance .
..........................................
5455 set_max_delay . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5458 set_max_fanout .
set_input_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5400 set_interfaces . . . . . . . . . . . .5464 set_max_lvth_percentage . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5464
5402 set_isolate_ports . . . . . . . . . . . . . . . . . . . . . . . .set_max_time_borrow . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5404 set_isolation . . . . . . . . . . . . . . . . . . . . . . .5465 set_max_transition . . . .
...................................................................................
. . . . 5405 set_isolation_control . . . . . . . . . . . . . . . . . 5467 set_message_info . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 5410 . . . . . . . . . . . . . . . . . . . . . . . 5472 set_metal_area . .
set_label_switch_list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5411 set_latch_loop_breaker. . . . 5473 set_min_capacitance . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5475
.5413 set_latency_adjustment_options . . . . . . . . . . . set_min_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5414 . . . . . . . . . . . . . . . . . . . 5478 set_min_pulse_width .
set_latency_budget_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5415 set_layer_map_file . . . .5483 set_missing_via_check_options . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5485 set_msg . . . . . .
5421 set_lc_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5422 . . . . . .5487 set_multi_input_switching_coefficient . .
set_leakage_weight . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5492
. . . . . . . . . . . . . . . . . . .5423
set_legalizer_preroute_keepout . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5424 set_level_shifter . . . . .
..........................................
5426 set_lib_cell_classification_attributes . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5431
set_lib_cell_naming_convention . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5432
set_lib_cell_naming_keywords . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5437 set_lib_cell_purpose . .
..........................................
5439 set_libcell_subset . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5441 set_load . . . . . . .
..........................................
. . . . .5442 set_locked_objects . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 5445
set_logic_lock_configuration . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5446 set_macro_constraints
..........................................
5448 set_macro_group_shape . . . . . . . . . . . . . . . . .
Contents
Feedback

set_multi_vth_constraint . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5494 set_multibit_options . .
..........................................
.5495 set_multicycle_path . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5499
set_multisource_clock_subtree_constraints . . . . . . .
. . . . . . . . . . . . . . . . . . . 5507
set_multisource_clock_subtree_options . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5509
set_multisource_clock_tap_options . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5514 set_net_estimation_rule
.........................................
5517 set_net_type . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5523
set_net_weight_effort . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5524 set_noise_margin . . . .
..........................................
5525 set_non_bias_approved_list . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5527
set_obfuscation_configuration . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5528 set_object_layer . . . . . .
.........................................
5530 set_object_shape . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5531
set_ocvm_table_group . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5534
set_operating_conditions . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5536
set_optimize_dft_options . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5539 set_optimize_registers .
.........................................
5539 set_output_delay . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5541
set_parasitic_parameters . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5547 set_parasitics_derate .
..........................................
5549 set_parasitics_parameters . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5553
65 set_partial_on_translation . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5554 set_path_margin . . . . .
..........................................
5556 set_pg_mask_constraint . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5561
set_pg_routing_mode . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5563 set_pg_strategy . . . . .
..........................................
.5564 set_pg_strategy_via_rule . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5571
set_pg_via_master_rule . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5576
set_physical_constraint . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5580
set_pin_budget_constraints . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5584 set_pin_name_synonym
.........................................
5593 set_pipeline_scan_data_configuration . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5595
set_pipeline_scan_enable_configuration . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5597
set_placement_ir_drop_target . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5599
set_placement_spacing_label . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5600
set_placement_spacing_rule . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5602 set_placement_status . .
.........................................
5606 set_pocvm_corner_sigma . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5607

66
set_qor_data_metric_properties . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5671 set_qor_data_options .
Contents
Feedback ..........................................
5676 set_qor_strategy . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5681 set_query_rules .
..........................................
set_pop_up_object_options . . . . . . . . . . . . . . . . . . . . . . . . .5688 set_rail_command_options . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5609 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5692
set_port_antenna_property . . . . . . . . . . . . . . . . . . . . set_rail_probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5612 set_port_attributes . . . . . . . . . . . . . . . . . . . . . . 5696 set_rail_scenario . . . . .
....................................................................................
.5614 set_power_budget . . . . . . . . . . . . . . . . . . . . . . 5699 set_ref_libs . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5617 . . . . . . . . . . . . . . . . . . . . . . . 5702 set_reference . . .
set_power_clock_scaling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5619 set_power_derate . . . . . . . . . 5705 set_register_async_gating_pin . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5712
5622 set_power_domain_constraints . . . . . . . . . . . . set_register_initial_state . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5625 set_power_group . . . . . . . . . . . . . . . . . . . 5712 set_register_merging .
....................................................................................
. . . . .5627 set_power_io_constraints . . . . . . . . . . . . .5714 set_register_output_inversion . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 5628 . . . . . . . . . . . . . . . . . . . . . . . . 5715
set_power_strategy_attribute . . . . . . . . . . . . . . . . . . set_register_replication . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5633 . . . . . . . . . . . . . . . . . . . 5716
set_power_switch_placement_pattern . . . . . . . . . . . set_regular_multisource_clock_tree_options . . . . . .
. . . . . . . . . . . . . . . . . . . 5634 . . . . . . . . . . . . . . . . . . . 5718 set_related_supply_net
set_power_switch_resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5636 5722
set_primepower_analysis_options . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5638 set_process_label . . . . .
.........................................
5639 set_process_number . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5641
set_programmable_spare_cell_mapping_rule . . . . . .
. . . . . . . . . . . . . . . . . . 5643 set_propagated_clock . .
.........................................
5647 set_pseudo_bump_density . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5648
set_pseudo_bump_objects . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5649 set_pt_options . . . . . .
..........................................
.5651 set_push_down_object_options . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5655 set_pvt . . . . . . .
..........................................
. . . . . .5662 set_pvt_configuration . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 5665
Contents
Feedback

set_repeater . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5724 set_repeater_group . . .
.........................................
.5726 set_repeater_group_constraints . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5729
set_report_configuration . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5732 set_retention . . . . . . . .
..........................................
5734 set_retention_control . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5738
set_retention_elements . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5740
set_reverse_index_max_transition . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5741
set_route_opt_target_endpoints . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5744 set_routing_rule . . . . .
..........................................
.5747 set_rp_group_options . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5750
set_safety_core_rule . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5757
set_safety_error_code_rule . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5759
set_safety_logic_port_map . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5760
set_safety_register_rule . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5763 set_scaling_lib_group .
..........................................
5765 set_scan_compression_configuration . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5767
set_scan_configuration . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5772 set_scan_element . . . .
..........................................
5776 set_scan_group . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5778 set_scan_path . .
..........................................
. . . . .5783 set_scan_skew_group . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .5791
67 set_scan_suppress_toggling . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5792 set_scenario_status . . .
.........................................
5796 set_scope . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5799
set_security_configuration . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5801
set_segment_budget_constraints . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5802
set_self_gating_objects . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5804 set_self_gating_options
.........................................
.5808 set_sense . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5811
set_serialize_configuration . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5814
set_shaping_group_order . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5816 set_shaping_options . .
..........................................
5818 set_si_delay_analysis . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5820
set_signal_io_constraints . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5822 set_simstate_behavior
..........................................
5827 set_site_array_stack_order . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5829 set_size_only . . .
..........................................
. . . . .5830 set_skew_macros . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 5833

68
. . . . . . . . . . . . . . . . . . . . . . . . . . . 5885
set_testability_configuration . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . 5888
set_threshold_voltage_group_type . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5900 set_timing_derate . . . .
..........................................
set_slice_preservation . . . . . . . . . . . . . . . . . . . . . . . . 5901 set_timing_paths_disabled_blocks . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5834 . . . . . . . . . . . . . . . . . . . . . . . 5910 set_top_module .
set_slm_stitching_strategy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5837 set_snap_setting . . . . .. . . . .5911 set_topology_edge_shapes . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5912
5841 set_soft_macro_bypass_segments . . . . . . . . . set_trace_option . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5844 . . . . . . . . . . . . . . . . . . . 5914 set_track_constraint . .
set_spf_constraint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5845 set_spfm_target . . . . . 5916 set_ungroup . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5920 set_units . . . . . . .
.5847 set_stage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5848 . . . . 5921 set_unix_variable . . . . . . . . . . . . . . . . . . .
set_starrc_in_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5922
. . . . . . . . . . . . . . . . . . . 5850 set_starrc_options . . . set_unloaded_register_removal . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5922
.5853 set_stdcell_filler_rules . . . . . . . . . . . . . . . . . . . set_use_for_library_analysis . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5854 set_stub_chain . .. . . . . . . . . . . . . . . . . . .5924 set_user_units . . . . . . .
...................................................................................
. . . . 5855 set_supernet_exceptions . . . . . . . . . . . . . .5925 set_variation . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 5857 . . . . . . . . . . . . . . . . . . . . . . . . 5927
set_supply_net_probability . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5859 set_svf . . . . . . . . . . . .
..........................................
. 5861 set_switching_activity . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 5863
set_syncff_libcells . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5867 set_synlib_dont_use . .
..........................................
5869 set_tap_boundary_wall_cell_rules . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5870
set_tap_package_model . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5875
set_target_library_subset . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5877 set_technology . . . . . .
..........................................
5881 set_temperature . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5882 set_test_assume .
..........................................
. . . . 5883 set_test_point_element . . . . . . . . . . . . . . .
Contents
Feedback

set_vclp_options . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5928
set_verification_checkpoints . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5929 set_verification_priority
..........................................
5931 set_via_def . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5933
set_via_ladder_candidate . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5935
set_via_ladder_constraints . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5936 set_via_ladder_rules . .
..........................................
5937 set_via_ladder_spacing . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5939
set_view_switch_list . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5940 set_virtual_pad . . . . . .
..........................................
5942 set_voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5943 set_voltage_area
..........................................
. . . . .5946 set_voltage_area_shape . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .5950
set_vopt_range . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5952 set_vopt_target . . . . . .
..........................................
5954 set_vsdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5956 set_vt_filler_rule .
..........................................
. . . . 5958 set_watermark_configuration . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 5959
set_working_design . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5961
set_working_design_stack . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 5963
set_working_ml_db_record . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5964
set_wrapper_configuration . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5966 setenv . . . . . . . . . . . . .
69 ..........................................
5972 setup_design_for_auto_partition . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .5974
setup_performance_via_ladder . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .5975 sh . . . . . . . . . . . . . . . . .
.........................................
.5979 shape_blocks . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 5980 shape_blocks_ml
..........................................
. . . . .5993 shell_is_in_cv_mode . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .5995
signoff_calculate_hier_antenna_property . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 5995 signoff_check_design .
..........................................
5999 signoff_check_drc . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6000
signoff_check_live_drc . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6008
signoff_create_metal_fill . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6009
signoff_create_pg_augmentation . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6025 signoff_fix_drc . . . . . . .
..........................................
6031 signoff_fix_isolated_via . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6036
signoff_init_live_drc . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6038
signoff_report_metal_density . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 6038

70
.........................................
.6087 split_objects . . . . . . . . . . . . . . . . . . . . . . . . . . .
Contents
Feedback . . . . . . . . . . . . . . . . . . . . . . . .6090 split_polygons . .
..........................................
. . . . . 6092 split_rdl_routes . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 6094
signoff_set_live_drc_rules . . . . . . . . . . . . . . . . . . . . . spread_objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6041 sim_assertion_control . . . . . . . . . . . . . . . . . . . . 6099 spread_spare_cells . . .
....................................................................................
6042 sim_corruption_control . . . . . . . . . . . . . . . . . . . 6101 spread_wires . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6044 . . . . . . . . . . . . . . . . . . . . . . . .6105 start_auto_save .
sim_replay_control . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 6045 size_cell . . . . . . . . . . . . . . . . .6106 start_busplan_gui . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6108
.6047 size_power_switches . . . . . . . . . . . . . . . . . . . . start_dpx_workers . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6049 sizeof_collection . . . . . . . . . . . . . . . . . . . .6109 start_gui . . . . . . . . . . . .
...................................................................................
. . . . 6051 slm_check_pmm . . . . . . . . . . . . . . . . . . . . .6110 start_python_window . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 6053 . . . . . . . . . . . . . . . . . . . . . . . .6111 stop_auto_save .
slm_gen_fm_eco_pmm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6054 slm_report_pmm . . . . . . . . . .6112 stop_dpx_workers . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6112 stop_gui . .
6054 slm_stitch_pmm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6055 . . . . . . . . . .6113
snap_cells_to_block_grid . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6056 snap_object_shapes . .
..........................................
6058 snap_objects . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . .6060 snps.cmd . . . . . . .
..........................................
. . . .6062 snps.collection . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . .6064 snps.redirect
..........................................
. . . . . . . . 6067 snps.value . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6068
sort_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6070 source . . . . . . . . . . . . .
..........................................
6071 split_clock_cells . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .6073 split_constraints .
..........................................
. . . . .6076 split_fanout . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 6083
split_macro_group . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6087 split_multibit . . . . . . . . .
Contents
Feedback

suppress_message . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6113 swap_objects . . . . . . .
..........................................
.6115 synthesize_clock_trees . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 6116
synthesize_clock_trunk_endpoints . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6118
synthesize_clock_trunk_setup_hier_context . . . . . . .
. . . . . . . . . . . . . . . . . . .6122
synthesize_clock_trunks . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6123
synthesize_multisource_clock_subtrees . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6126
synthesize_multisource_clock_taps . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6137
synthesize_multisource_global_clock_trees . . . . . . .
. . . . . . . . . . . . . . . . . . . 6142
synthesize_regular_multisource_clock_trees . . . . . .
. . . . . . . . . . . . . . . . . . . 6146
t.........................................
. . . . . . . . . . . . . . . . . . . . . . 6150 train_pg_ml_model
..........................................
. . . 6150 transform_polygons . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . .6151
translate_pin_check_ui . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6153 trim_pg_mesh . . . . . . .
..........................................
6154 trim_shapes . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .6157
u.........................................
. . . . . . . . . . . . . . . . . . . . . .6158 unalias . . . . . . . . . .
..........................................
. . .6158 uncommit_block . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . 6159
undefine_derived_user_attribute . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6161 undefine_user_attribute
.........................................
.6162 undo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
71 . . . . . . . . . . . . . . . . . . . . . . . 6164 ungroup_cells . . .
..........................................
. . . . 6166 uniquify . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 6169
uniquify_block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6171 uniquify_by_bump . . . .
..........................................
6172 uniquify_topology_plans . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6174
unpack_macro_group . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6175
unplace_group_repeaters . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6175 unsetenv . . . . . . . . . . .
..........................................
6177 unsuppress_message . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6178
update_block_views . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6180
update_clock_trunk_timing . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6181
update_constraint_mapping_file . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6183
update_cross_probing_files . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 6186 update_feature_table . .
.........................................
6189 update_indesign_activity . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .6192 update_timing . .
..........................................
. . . . . 6194

72
. . . . . . . . . . . . . . . . . . 6230 write_budgets . . . . . . . .
.........................................
Contents
Feedback 6231 write_busplans . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6239
write_cell_expansion . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6240 write_checksum . . . . . .
update_topology_node . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6195 upf_version . . . . . . . . . .6241 write_clock_trunks . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6242 write_collection .
6198 use_interface_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6199 . . . . . 6244 write_def . . . . . . . . . . . . . . . . . . . . . . . . .
v . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6246
. . . . . . . . . . . . . . . . . . . . . . 6200 vclp_stop . . . . . . . .write_default_pg_pattern . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6255 write_design_io . . . . . .
. . . 6200 vclp_zoom_highlight . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . 6201 6256 write_dff_connections . . . . . . . . . . . . . . . . . . . .
verify_via_ladders . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6262
. . . . . . . . . . . . . . . . . . . 6201 view_qor_data . . . . . . write_dff_trace_filters . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6262 write_drc_error_data . . .
.6202 voltage_opt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 6205 6263 write_drc_error_waivers . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6265
w........................................
write_early_data_check_config . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . 6206 watch_commands .
. . . . . . . . . . . . . . . . . . .6266
..........................................
. . . 6206 which . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . 6207 widen_wires .
..........................................
. . . . . . . .6208 win_select_objects . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6210
win_set_filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6212 win_set_select_class .
..........................................
.6213 write_3dblox . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6214
write_active_rtl_file_list . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6215 write_aif . . . . . . . . . . .
..........................................
.6218 write_annotation_shapes . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6221 write_app_options
..........................................
. . . . 6223 write_app_var . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . 6224
write_ascii_files . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6225
write_blackbox_timing_script . . . . . . . . . . . . . . . . . . .
Contents
Feedback

write_ems_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 6267
write_feasibility_constraints . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6269 write_floorplan . . . . . . .
.........................................
.6272 write_frame_options . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . 6278 write_gds . . . . .
..........................................
. . . . . .6280 write_interface_spice_netlist . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6298
write_io_constraints . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6300 write_ivm . . . . . . . . . . .
..........................................
6302 write_layer_map . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6303 write_lef . . . . . . .
..........................................
. . . . .6310 write_lib_package . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 6312
write_macro_relative_location . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6316 write_matching_types .
..........................................
6317 write_name_map . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .6318
write_net_estimation_rules . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6319 write_oasis . . . . . . . . .
..........................................
6321 write_optimization_history . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .6339 write_parasitics .
..........................................
. . . . . 6339 write_physical_rules . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 6342
write_pin_constraints . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6343 write_pt_checksum . . .
..........................................
6351 write_push_down_eco . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .6352 write_qor_data . .
..........................................
. . . . .6353 write_rde . . . . . . . . . . . . . . . . . . . . . . . . .
73 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6361
write_routes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6362
write_routing_constraints . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 6364 write_rp_groups . . . . . .
.........................................
.6365 write_safety_register_script . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . .6367 write_saif . . . . . .
..........................................
. . . . . 6368 write_sanity_check_point . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . 6372
write_scan_def . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . 6373 write_script . . . . . . . . . .
.........................................
6374 write_sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6379 write_sdf . . . . . . .
..........................................
. . . . 6383 write_shadow_eco . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .6385
write_spare_ports_eco . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6389 write_split_net_eco . . .
..........................................
6390 write_taps . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6391 write_tech_file . . .
..........................................
. . . . 6393

74
Contents
Feedback

write_test_model . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6394 write_test_protocol . . .
..........................................
6394 write_topology_constraints . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6396
write_topology_plans . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6398 write_topology_report .
..........................................
6400 write_verilog . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . 6402
write_virtual_pad_file . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . .6412
write_waiver_containers . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . 6413

75
Feedback

1
Fusion Compiler Tool Commands
This document describes the tool commands supported by the Fusion Compiler tool.

add_array_to_macro_group
Add macro array to macro group.
Syntax
status add_array_to_macro_group
-group macro_group
-array macro_array

Arguments
-macro_group macro_group
Specifies macro group.
-macro_array macro_array
Specifies macro array.
Description
This command add macro array to macro group. All macros of the macro array will be
added to the macro group. If the macro array belongs to another macro group before this
command, it will be removed from that macro group and added to the new macro group. If
the macro group contains macros before the command, those macros and macros of the
macro group must belong to the same placeable area, i.e, movebound, voltage area, or
block.
Examples
The following command add macro array array1 to micro group group1
prompt> add_array_to_macro_group -group group1 -array array1
design. Syntax

status add_attachment
name
Fusion Compiler Tool Commands W-2024.09 -file_name
76 -object
Chapter 1: Fusion Compiler Tool Commands
Data Types
a
name string

See Also Arguments

• remove_array_from_macro_group name

• add_macro_to_group Specifies the name of the attachment.


-file_name
• remove_macros_from_group
Specifies the name of the file to be attached.
• create_macro_array
-object
Feedback
add_attachment
Adds user-defined attachments on a library or a
Specifies a library or a design object. A cell library should be used only in Library
Manager Shell. Library cells cannot have attachments.
Description
This command adds an attachment to the given library or design. The attachment is
always a file and after you attach the file to the given object, a copy of the file is taken into
the database and completely managed by the tool.
Examples
In the following example an attachment is added to the current block.
77

Chapter 1: Fusion Compiler Tool Commands a


Feedback

Fusion Compiler Tool Commands W-2024.09


prompt> add_attachment -object [current_block] -file_name test_att.txt
test_att
1

See Also
• remove_attachments
• report_attachments
• open_attachment

add_buffer
Adds buffer cells on the nets that are connected to the specified pins.
Syntax
collection add_buffer
[-new_net_names new_net_names]
[-new_cell_names new_cell_names]
[-inverter_pair]
[-no_of_cells number]
object_list
[-lib_cell buffer_lib_cell] | [buffer_lib_cell]
[-snap]
[-respect_voltage_areas]

Data Types
new_net_names list
new_cell_names list
number integer
object_list list
buffer_lib_cell collection

Arguments
-new_net_names new_net_names
Specifies the names of the new nets to add. You must specify one net name per
buffer when adding buffers, and two net names per inverter pair when adding
inverter pairs. If the specified net name already exists, the command adds a
suffix of "_%d" or "_%d_%d" to the net name.
Optionally, if you specify only the common base name, the tool generates new
net names by adding unique numeric suffixes to the common base name. The
specified names can be any valid net names, but must be the leaf names. They
must not be the hierarchical names and must not contain embedded hierarchical

Fusion Compiler Tool Commands


78
W-2024.09
eco_net.
Chapter 1: Fusion Compiler Tool Commands
a -new_cell_names new_cell_names

Specifies the names of the new cells to be


separators. They must be unique in the current added. You must specify one cell
context, as specified by the current instance. Feedback
By default, the command uses the base name

name per buffer when adding buffers, and two cell names per inverter pair when
adding inverter pairs. If the specified cell name already exists, the command
adds a suffix of "_%d" or "_%d_%d" to the cell name.
Optionally, if you specify only the common base name, the tool generates new
cell names by adding unique numeric suffixes to the common base name. These
names can be any valid cell names, but must be the leaf names. They must not
be the hierarchical names and must not contain embedded hierarchical
separators. They must be unique in the current context, as specified by the
current instance.
By default, the command uses eco_cell as the common base name.
-inverter_pair
Adds inverter pairs instead of buffer cells. If you specify this option, you must
supply a library cell that has an inverting output. You can use this option when
the specified library cell or buffer has both inverting and noninverting outputs.
-no_of_cells number

Specifies the number of buffer cells or inverter pairs to be inserted per net.
The inserted repeaters are connected back-to-back in series. By default, the
command inserts a single buffer cell or inverter pair per net.
object_list

Specifies a list of nets, pins, or ports that must be buffered. The new buffer cells
or inverter pairs are placed close to the specified pins or ports if their cells are
placed.
If you specify a net, the tool connects the buffers or inverter pairs such that a
new buffer cell is the new load of the original net.
If you specify pins, the tool groups all of the specified pins based on the nets to
which they are connected. When the grouped pins are load pins, the tool adds
the buffers so that the new buffer cells can drive them. When the grouped pins
are driver pins, the tool connects the new buffer cells so that they become the
load of the specified driver pin.
-lib_cell buffer_lib_cell

Specifies the library cell to be used as buffer. In this case, the object is either
a named library cell or a library cell collection. This is a required option. This
option is mutually exclusive with buffer_lib_cell.

Fusion Compiler Tool Commands


79
W-2024.09
noninverting outputs, that is, it can
Chapter 1: Fusion Compiler Tool Commands
Feedback
a

If the library cell has both inverting and

act both as buffer and inverter, the -inverter_pair option controls which output
is used. If the library cell has multiple outputs, the command uses the first
noninverting or inverting output.
buffer_lib_cell

This positional option is exactly equivalent to -lib_cell. And it is mutually


exclusive with -lib_cell.
-snap

Put the new buffer cells next to the target cell, then snap the buffer cells to the
closest site, and flip the buffer cells when needed.
If you specify a net or a driver pin with this option, the new buffer cells are
placed next to the target cell of driver pin if the target cell are placed.
If you specify a load pin with this option, the new buffer cells are placed next to
the target cell of load pin if the target cell are placed.
If you specify a list of load pins on the same net with this option, the new buffer
cells are placed in the center of all load pins if the target cell are placed.
This option is mutually exclusive with the -respect_voltage_areas
option. -respect_voltage_areas
Inserts buffers within the hierarchy of the voltage area such that the buffer is
physically in the layout. This option is mutually exclusive with the -snap
option.
Description
This command adds buffers or inverter pairs at one or more specified pins or ports. A
library cell with a single input and multiple outputs is a buffer, as long as each output has
the same or inverted logic function as the input.
Like all other netlist editing commands, the command arguments to add_buffer must be
valid for the command to run successfully. If the command succeeds, the result is a
collection of the newly-added cells. If the command fails, the command returns an empty
collection or an empty string and does not change the netlist.
By default, each newly-created cell has a name beginning with the eco_cell string and
ending with a unique numeric suffix. Each newly-created net has a name beginning with
the eco_net string and ending with a unique numeric suffix. To override the automatic
name generation by the tool, use the -new_net_names and -new_cell_names options.
You can mimic buffer addition by using other commands, such as create_cell, create_net,
disconnect_net, and connect_net. The add_buffer command provides a more efficient and
safe way to add buffers.

Fusion Compiler Tool Commands W-2024.09


80

You might also like