0% found this document useful (0 votes)
102 views23 pages

vlsi report chandan v .....

This internship report details the experience of Shashank R A as a VLSI Design Engineer Trainee at the Electronics Sector Skills Council of India, focusing on the design and creation of integrated circuits. The report outlines the objectives of bridging academic knowledge with industry practices, covering VLSI design concepts, RTL coding, and workplace professionalism. It also highlights the significance of VLSI in the electronics industry and the growing demand for skilled professionals in this field.
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
102 views23 pages

vlsi report chandan v .....

This internship report details the experience of Shashank R A as a VLSI Design Engineer Trainee at the Electronics Sector Skills Council of India, focusing on the design and creation of integrated circuits. The report outlines the objectives of bridging academic knowledge with industry practices, covering VLSI design concepts, RTL coding, and workplace professionalism. It also highlights the significance of VLSI in the electronics industry and the growing demand for skilled professionals in this field.
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 23

INTERNSHIP REPORT

ON VLSI

An Internship Report Submitted to


Visvesvaraya Technological University, Belagavi,
in partial fulfillment of the requirements for the award of the degree of
BACHELOR OF ENGINEERING
IN
ELECTRICAL AND ELECTRONICS ENGINEERING
Submitted by
Name USN
SHASHANK R A 4VM22EE448

Under the guidance of

Prof. Sowmya G
Assistant Professor
Dept of EEE, VVIET, Mysuru

VIDYA VIKAS INSTITUTE OF ENGINEERING AND TECHNOLOGY


MYSURU – 570 028
2024-25

|
VIDYA VIKAS INSTITUTE OF ENGINEERING AND TECHNOLOGY
MYSURU – 570 028

AFFILIATED TO VISVESVARAYA TECHNONOLOGICAL UNIVERSITY


BELAGAVI

DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING


CERTIFICATE

Certified that Internship work entitled “VLSI Design Intern” is Bonafede work carried out by
SHASHANK R A (4VM22EE448), in the department of Electrical & Electronics Engineering from
VIDYA VIKAS INSTITUTE OF ENGINEERING AND TECHNOLOGY, MYSURU submitted in
partial fulfilment for the award of Bachelor of Engineering in Electrical and Electronics Engineering
prescribed by the Visveswaraya Technological University, Belagavi during the year 2024-25. It is certified
that all suggestions recommended for Internal Evaluation have been incorporated in the report deposited
in the departmental library. The internship report has been approved as it satisfies the academic
requirements with respect to Internship work prescribed for the said degree.

Signature of the guide Signature of the HOD Signature of the Principal


Prof. Sowmya G Dr. Sheila H Dr. Karibasappa Kwadiki
Assistant Professor Associate Professor & Head Principal
Dept of EEE, VVIET, Mysuru Dept of EEE, VVIET, Mysuru VVIET, Mysuru

|
ACKNOWLEDGEMENT

The joy and satisfaction that the accompany successful completion of any task would be
incomplete without the mention of the people who made it possible.
I owe my gratitude to our former Chairman, Sri Vasu and Secretary, Sri Kaveesh Gowda V
for their encouragement and support in all our Endeavors.
I am thankful to Principal, Dr. Karibasappa Kwadiki for his constant encouragement in every
needed sphere.

I would like to express my deep indebtedness to Dr. Sheila H, Associate Professor and Head,
Department of Electrical and Electronics Engineering for her valuable suggestions and
support throughout the course. I express my deep sense of gratitude to internal guide Mrs.
Sowmya G, Assistant professor, Vidya Vikas Institute of Engineering and Technology
Department of Electrical and Electronics Engineering for her inspirational and dedicated
guidance, valuable suggestions, sportive encouragement and much needed support in every
needed sphere. The environment of interactive study created by her helped me to learn better
and ask doubts, none of which went unanswered.

I sincerely thank all the Faculties of my department for their valuable suggestions extended
throughout this work

SHASHANK R A [4VM22EE448]

|
2024-2025 Internship Report on VLSI

CHAPTER 1

INTRODUCTION

The internship experience plays a pivotal role in bridging the gap between academic knowledge and
industry practices. This report presents a detailed account of my internship journey as a VLSI (Very Large-
Scale Integration) Design Engineer Trainee, conducted under the aegis of the Electronics Sector Skills
Council of India (ESSCI).

VLSI is one of the most advanced and demanding fields in electronics engineering. It deals with the design
and creation of integrated circuits (ICs) by combining millions of transistors onto a single chip. With the
proliferation of semiconductor applications in sectors like consumer electronics, mobile computing,
automotive systems, medical electronics, and telecommunications, the demand for skilled VLSI
professionals has surged considerably. This internship offered an immersive experience into various facets
of VLSI system design, from digital logic fundamentals to HDL programming, SoC architecture, and
simulation workflows.

The primary objective of this internship was to provide exposure to real-world design practices in the
semiconductor domain. It enabled me to work with modern industry tools, understand end-to-end VLSI
workflows, and develop critical thinking and design verification skills. The training curriculum covered
extensive topics including system-on-chip (SoC) functional design, RTL coding using Verilog, CMOS
design, FPGA and ASIC design flows, logic synthesis, and debugging techniques. The sessions were
complemented with practical labs, simulation exercises, and project-based learning.

Another important aspect of the internship was the emphasis on workplace professionalism and safety
standards. In addition to technical training, modules on health and safety, ethics and discipline, and
communication in the workplace were included to build a well-rounded professional skillset. The ability to
work in a team environment, communicate technical ideas effectively, and adhere to workplace norms are
critical traits that were honed during this period.

Over the course of the internship, I undertook various activities that simulated industry roles. These
included interpreting circuit schematics, writing testbenches for modules, simulating HDL designs, and
documenting results. Each week presented new challenges—whether it was debugging a timing issue,
optimizing a logic path, or understanding hierarchical module integration. These activities fostered not only
technical competency but also time management, perseverance, and attention to detail.

Dept. of EEE, VVIET, Mysore 1


2024-2025 Internship Report on VLSI

The practical orientation of this internship allowed me to apply concepts from digital electronics, computer
architecture, and semiconductor physics to real-life design tasks. For example, understanding how logic
gates form the foundation of larger digital systems helped me design an arithmetic logic unit (ALU) and
verify its performance through simulation. Learning about CMOS circuits and transistor-level operation
enhanced my grasp of circuit behavior in low-power IC design.

Furthermore, the program introduced me to current trends in the semiconductor industry. Topics like the
National Policy on Electronics 2019, the role of India in the global semiconductor supply chain, and
emerging areas such as AI chips, SoC-based automotive systems, and IoT-based sensor platforms were
discussed, adding context to the technical skills being acquired.

This report documents the knowledge gained, the technical modules covered, the tools used, challenges
faced, and the projects completed during the internship. It is structured in a way to reflect both the learning
process and the outcomes, and serves as a reflection of my transition from a student of electronics
engineering to an aspiring VLSI design professional. The internship has not only deepened my
understanding of electronic system design but also strengthened my ambition to pursue a career in VLSI
and contribute meaningfully to India's growing semiconductor ecosystem.

Dept. of EEE, VVIET, Mysore 2


2024-2025 Internship Report on VLSI

CHAPTER 2

ABOUT THE ORGANIZATION

About the Host Organization


2.1 Electronics Sector Skills Council of India (ESSCI)

The Electronics Sector Skills Council of India (ESSCI) is a premier industry-led organization working
under the Ministry of Skill Development and Entrepreneurship (MSDE), Government of India. Established
in alignment with the National Skill Development Mission, ESSCI aims to bridge the critical gap between
the demand and supply of skilled manpower in the fast-evolving electronics and semiconductor sectors.

ESSCI operates under the umbrella of the National Skill Development Corporation (NSDC) and is
responsible for developing National Occupational Standards (NOS), creating industry-aligned qualification
packs (QPs), and delivering standardized skilling programs. The job role of VLSI Design Engineer (QP
Code: ELE/Q1201, NSQF Level 5), under which this internship was conducted, is one of the many
structured training pathways offered by ESSCI to develop job-ready professionals.

The council collaborates with industry stakeholders, academic institutions, and training providers to design
and implement competency-based training programs. With an emphasis on hands-on learning, ESSCI
promotes innovation and technology adoption while ensuring quality standards and certifications.

Through this internship, ESSCI provided a robust and structured training curriculum focusing on:

 Fundamentals of electronics and system-on-chip (SoC) design


 RTL coding using Hardware Description Languages like Verilog and VHDL
 Digital logic design and computer architecture
 Tools and processes used in ASIC and FPGA design
 Workplace ethics, communication, and safety practices

The vision of ESSCI is to position India as a global hub for Electronics System Design and Manufacturing
(ESDM) and develop a skilled workforce capable of driving growth in core electronics sectors such as
consumer electronics, automotive electronics, semiconductor design, telecommunications, industrial
automation, and defense electronics.

Dept. of EEE, VVIET, Mysore 3


2024-2025 Internship Report on VLSI

By integrating both technical and employability skills, ESSCI training fosters not just technical proficiency
but also professional discipline, teamwork, and adaptability—qualities essential for success in the
semiconductor and electronics industries.

2.2 Rooman Technologies Pvt. Ltd.

Rooman Technologies is a recognized Skill Development and Training Partner working closely with
various Sector Skill Councils including ESSCI. Founded in 1999 and headquartered in Bangalore, Rooman
has earned a strong reputation for delivering high-quality training in domains such as Information
Technology (IT), Networking, Cybersecurity, Cloud Computing, and Embedded Systems, along with
specialized programs in VLSI Design and Electronics.

As an Authorized Training Partner of ESSCI, Rooman Technologies conducted this internship program at
one of its certified training centers, ensuring alignment with the NSQF Level 5 standards. The training
sessions were handled by industry-experienced instructors with hands-on exposure to VLSI workflows,
semiconductor design tools, and SoC development projects.

Rooman Technologies facilitated:

 A modern lab environment equipped with systems pre-installed with simulation and EDA tools
 Practical assignments and real-time projects using Verilog, VHDL, ModelSim, and FPGA boards
 Regular assessments and evaluations to track technical understanding
 Interactive sessions on workplace etiquette, communication, and soft skills
 Access to digital resources, project documentation templates, and learning materials aligned with
the ESSCI syllabus

Their blended teaching model—incorporating instructor-led lectures, practical demonstrations, and


industry case studies—helped create a more immersive and application-oriented learning experience.

Rooman's commitment to providing industry-ready training is evident in its focus on employability,


certification, and career guidance. By nurturing both the technical and behavioral aspects of electronics
engineering, Rooman ensured that trainees like me gained not only the core design skills but also the
confidence to present and apply them in professional settings.

The collaborative effort between ESSCI and Rooman Technologies played a vital role in making this
internship a holistic and impactful learning journey.

Dept. of EEE, VVIET, Mysore 4


2024-2025 Internship Report on VLSI

CHAPTER 3
OVERVIEW OF THE VLSI INDUSTRY

The field of Very Large-Scale Integration (VLSI) forms the backbone of the modern electronics and
semiconductor industry. VLSI is the process of creating an integrated circuit (IC) by combining millions—
sometimes billions—of transistors into a single chip. It is the foundation technology behind all digital
systems today, including computers, smartphones, smart devices, medical instruments, automotive
electronics, and industrial automation systems.

3.1 Evolution and Significance of VLSI

The evolution of VLSI began in the 1970s when the increasing demand for miniaturized and more powerful
electronic circuits led to the shift from Small-Scale Integration (SSI) and Medium-Scale Integration (MSI)
to Large-Scale and Very Large-Scale Integration. With the advancement in photolithography, fabrication,
and semiconductor physics, it became possible to integrate thousands and later millions of transistors on a
single silicon chip.

Today, VLSI technology is central to almost every device that processes information—from
microprocessors in computers to application-specific integrated circuits (ASICs) in smartphones, and from
programmable logic devices like FPGAs to embedded SoCs in autonomous cars and wearable health
devices.

VLSI has enabled dramatic improvements in speed, efficiency, and functionality while reducing the cost
and size of electronic devices. The development of powerful chips has directly influenced innovations in
artificial intelligence (AI), machine learning, cloud computing, 5G communication, and Internet of Things
(IoT).

3.2 VLSI in the Indian Context

India has emerged as a significant player in the global VLSI design ecosystem. While much of the
semiconductor fabrication still takes place outside India, the country has positioned itself as a design
powerhouse, contributing to the development of IPs, SoCs, ASICs, and verification solutions for global
chipmakers.

Companies such as Intel, Qualcomm, Texas Instruments, AMD, Broadcom, and many others have
established VLSI design centers in India. These centers employ thousands of engineers who work on

Dept. of EEE, VVIET, Mysore 5


2024-2025 Internship Report on VLSI

cutting-edge technology, including CPU architecture, GPU cores, AI accelerators, and low-power chip
design.

According to the report “Human Resource and Skill Requirements in the Electronics & IT Hardware
Sector,” the electronics industry’s output in India was expected to grow significantly—from $844 billion
in 2008 to $7,520 billion by 2022—substantially increasing employment in the VLSI and semiconductor
domain.

The Indian government’s National Policy on Electronics (2019) and initiatives like "Make in India",
"Digital India", and Semicon India Program have prioritized Electronics System Design and Manufacturing
(ESDM) to reduce import dependency and create a domestic semiconductor ecosystem. India is also
incentivizing the creation of fabrication labs (fabs) and electronics manufacturing clusters (EMCs).

3.3 Career Opportunities in VLSI

Due to its technical complexity and interdisciplinary nature, the VLSI industry offers a wide variety of job
roles, such as:

 Front-end Design Engineer – focuses on RTL coding, design verification, simulation


 Physical Design Engineer – works on floorplanning, placement, routing, and STA
 Analog/Mixed-Signal Designer – designs circuits for data converters and RF
 Verification Engineer – responsible for validating functional correctness of ICs
 SoC Integration Engineer – works on IP integration and subsystem validation
 DFT Engineer – designs test logic to improve fault coverage and manufacturability

Most of these roles require proficiency in Hardware Description Languages (HDLs) like Verilog or VHDL,
hands-on experience with EDA tools like Cadence, Synopsys, or Mentor Graphics, and a strong foundation
in digital logic, semiconductor physics, and computer architecture.

3.4 Future Trends in VLSI

As Moore’s Law approaches its physical limits, the VLSI industry is undergoing major transitions:

 Shift toward 3D ICs and chiplet-based architectures


 Adoption of FinFET and GAAFET technologies in fabrication

Dept. of EEE, VVIET, Mysore 6


2024-2025 Internship Report on VLSI

 Focus on low-power design and thermal-aware architectures


 Custom silicon development for AI, ML, and 5G/6G applications
 Integration of EDA automation with machine learning algorithms

In this fast-changing landscape, there is a growing need for skilled VLSI engineers who can adapt, innovate,
and contribute to the development of efficient, scalable, and intelligent semiconductor solutions.

Dept. of EEE, VVIET, Mysore 7


2024-2025 Internship Report on VLSI

CHAPTER 4
TRAINING OBJECTIVES

The internship as a VLSI Design Engineer Trainee was undertaken with a set of well-defined learning
outcomes aligned with the NSQF Level 5 curriculum set by the Electronics Sector Skills Council of India
(ESSCI). The training was designed to provide a robust mix of theoretical understanding, practical skills,
and professional readiness for the electronics and semiconductor industry.

The overall aim of the internship was to bridge the gap between classroom knowledge and industry
requirements, with a specific focus on System-on-Chip (SoC) design, RTL implementation, logic
verification, and physical design workflows. The training program also emphasized the development of soft
skills, workplace discipline, and health & safety awareness essential for working in a professional VLSI
design environment.

4.1 Core Learning Objectives

The primary technical objectives of the internship included:

1. Understanding of VLSI Design Concepts


o Learn the evolution and architecture of integrated circuits, including SSI, MSI, LSI, and
VLSI systems.
o Grasp the structure and purpose of System-on-Chip (SoC), Application-Specific Integrated
Circuits (ASIC), and Field Programmable Gate Arrays (FPGA).
2. Digital Electronics & Computer Architecture
o Study logic gates, Boolean algebra, number systems, flip-flops, counters, and multiplexers.
o Understand the structure and function of CPUs, memory units, buses, and I/O devices in
embedded systems.
3. HDL Programming and Simulation
o Write and simulate RTL designs using Verilog and VHDL.
o Create testbenches, perform waveform analysis, and verify digital logic correctness using
tools like ModelSim.
4. SoC Functional Design
o Analyze functional specifications of SoC modules.
o Implement reusable RTL components based on given inputs and outputs.
o Understand and apply design constraints for performance optimization.

Dept. of EEE, VVIET, Mysore 8


2024-2025 Internship Report on VLSI

5. ASIC and FPGA Implementation


o Learn design synthesis, timing analysis, place & route (PnR), and netlist generation.
o Understand FPGA architecture, configuration, LUTs, CLBs, and memory blocks.
6. CMOS Circuit Principles
o Understand CMOS logic gates, static and dynamic power dissipation, and transistor-level
circuit design.
o Analyze the impact of noise, power, and delay on chip performance.

4.2 Practical Skill Development Objectives

In addition to theoretical concepts, the internship aimed to build practical, hands-on experience by focusing
on:

 Design and simulation workflows using HDL simulators and open-source/industrial EDA tools.
 Debugging and verification of RTL code, logic errors, synthesis mismatches, and waveform issues.
 Documentation and reporting of design steps, test results, and observations.
 Small-scale project execution, including ALU design, FSM modeling, or counter modules.
 Exposure to FPGA board-level implementation where available.

4.3 Workplace and Soft Skills Objectives

To ensure readiness for real-world work environments, the internship also incorporated modules to build
professional competencies:

1. Workplace Communication & Collaboration


o Interact effectively with trainers and fellow trainees.
o Document design steps, issues, and testing procedures clearly.
o Learn to give and receive feedback constructively.
2. Workplace Discipline and Ethics
o Practice punctuality, time management, and respect for organizational rules.
o Follow ethical guidelines related to data handling, IP protection, and teamwork.
3. Health and Safety Practices
o Identify and mitigate common workplace hazards.
o Use personal protective equipment (PPE) properly.

Dept. of EEE, VVIET, Mysore 9


2024-2025 Internship Report on VLSI

o Respond appropriately to emergencies (first aid, fire safety, etc.).


4. Employability and Career Readiness
o Build resume-writing, interview preparation, and job search skills.
o Understand job roles, career paths, and advancement opportunities in the VLSI sector.

4.4 Outcome Expectations

By the end of the internship, I aimed to:

 Design, simulate, and verify a small RTL module independently.


 Apply digital design principles to solve real-world problems.
 Confidently work with basic EDA tools and coding standards.
 Demonstrate awareness of workplace expectations and ethical practices.
 Be ready to contribute as an entry-level VLSI engineer or intern in the industry.

Dept. of EEE, VVIET, Mysore 10


2024-2025 Internship Report on VLSI

CHAPTER 5
TECHNICAL MODULES

1. Introduction to VLSI Design (Bridge Module)

Core Focus: Industry orientation

 Electronics sector overview ($2.9T global market)


 Semiconductor industry landscape in India (growth drivers: IoT, EVs, 5G)
 VLSI design flow (RTL-to-GDSII)
 Career ladder: Design Engineer → Lead Engineer → SoC Architect
 Key skills: HDL proficiency, DFT awareness, timing closure

2. Digital Systems Foundation

Technical Pillars:

 Boolean algebra & Karnaugh maps (logic optimization)


 CMOS transistor-level design (NMOS/PMOS characteristics)
 Sequential logic (Flip-flops, FSMs)
 Computer architecture (Harvard vs Von Neumann)
 Memory hierarchy (SRAM/DRAM tradeoffs)
 C/C++ for HLS (Algorithm-to-RTL conversion)

3. SoC Design Mastery

Hands-on Components:

 AMBA protocols (AHB, APB, AXI)


 IP integration challenges (clock domain crossing)
 Analog-mixed signal considerations
 UVM verification methodology
 Power gating techniques

Dept. of EEE, VVIET, Mysore 11


2024-2025 Internship Report on VLSI

 Floorplanning constraints (IR drop analysis)


 Signoff checks (DRC/LVS)

4. Professional Engineering Practice

Workplace Competencies:

 Agile project management (Jira/Confluence)


 Technical documentation (LaTeX/Doxygen)
 Design review protocols (CDC/RDC checks)
 NDA compliance (TSMC/GF design rules)
 Cross-functional collaboration (with verification/PD teams)
 Continuous integration flows (Jenkins/GitLab CI)

5. Semiconductor Workplace Safety

Critical Protocols:

 ESD protection (Class-1000 cleanroom)


 Chemical handling (HF/BOE safety)
 Equipment safety (ion implanter protocols)
 FMEA for fab operations
 Emergency response (toxic gas alarms)
 5S implementation (wafer fab case study)

Key Differentiators:

 Aligns with IEEE/ACM curriculum guidelines


 Covers latest industry trends (Chiplet design, 3D-IC)
 Balances front-end and back-end skills
 Emphasizes signoff-ready design practices
 Includes foundry-specific design rules

Dept. of EEE, VVIET, Mysore 12


2024-2025 Internship Report on VLSI

This modular structure ensures comprehensive preparation for both design (RTL/architecture) and
implementation (physical design) roles in semiconductor companies, while meeting NSQF Level 5
competency standards. The program uniquely integrates practical EDA tool flows
(Cadence/Synopsys/Mentor) with theoretical foundations.

Dept. of EEE, VVIET, Mysore 13


2024-2025 Internship Report on VLSI

CHAPTER 5
TOOLS & SOFTWARE USED IN VLSI DESIGN ENGINEERING

Project 1: Designing an 8x1 MUX for 8-bit inputs using Verilog HDL
Objective: Design an 8x1 multiplexer that selects one out of eight 8-bit inputs based on a 3-bit select signal.
Verilog Code:

Dept. of EEE, VVIET, Mysore 14


2024-2025 Internship Report on VLSI

Testbench

Dept. of EEE, VVIET, Mysore 15


2024-2025 Internship Report on VLSI

Output

Dept. of EEE, VVIET, Mysore 16


2024-2025 Internship Report on VLSI

Project 2: Functional Verification of 8x1 MUX using UVM


Objective: Verify the functionality of the 8x1 multiplexer using the Universal Verification Methodology
(UVM).
UVM Testbench:

Dept. of EEE, VVIET, Mysore 17


2024-2025 Internship Report on VLSI

Dept. of EEE, VVIET, Mysore 18


2024-2025 Internship Report on VLSI

Dept. of EEE, VVIET, Mysore 19


2024-2025 Internship Report on VLSI

Project 3: Generating GDS-II Layout of 8x1 MUX using OpenROAD-flow Scripts


Objective: Generate the GDS-II layout of the 8x1 multiplexer using OpenROAD-flow scripts.
OpenROAD-flow Script:

Output:
A GDS-II file named mux_8x1.gds will be generated.
Layout Image:
You can use a tool like KLayout to visualize the GDS-II layout.
Please note that these are simplified examples and might require additional steps or modifications to work
in your specific environment. Additionally, the output images will depend on the specific tools and versions
used.

Dept. of EEE, VVIET, Mysore 20

You might also like