STA_Interview_questions_1745401721
STA_Interview_questions_1745401721
Prasanthi Chanda
1.What is Setup Time Violation?
Company: Synopsys, Qualcomm
Setup time is the minimum duration for which data must remain
stable before the active clock edge arrives at a flip-flop.
A setup time violation happens when data reaches the flip-flop too
late, i.e., after the required setup time window.
This can result in incorrect data being captured, leading to
functional errors.
Setup violations typically occur due to high path delay in the
combinational logic between two flip-flops.
Timing tools check the maximum delay along a path to detect setup
violations.
Fixes include:
Reducing logic depth
Adding buffers to balance delay
Cell upsizing or restructuring the logic
2. What is Clock Skew and how does it impact Setup and Hold Timing?
Company: Intel, Texas Instruments
3. A path is showing a Setup Violation. How will you debug and fix it?
Company: Apple, Broadcom
Hold time is the minimum time data must be stable after the clock
edge to be correctly latched.
A hold violation occurs when data changes too soon after the clock
edge, before the latch captures it.
This is a min delay violation, unlike setup which is a max delay
violation.
Hold violations are mostly due to fast paths, like:
Short logic paths
Strong driving cells
Low-capacitance nets
Fixes include:
Inserting delay buffers in the data path
Cell downsizing to slow down logic transitions
Apply Fixes:
Upsize cell, Add buffers,
Shorten path
Physical Verification:
Ensure no DRC/EM issues
6.What is Static Timing Analysis (STA), and how is it different from
Dynamic Timing Simulation?
Company: ARM, Texas Instruments
Constraints Errors
10%
Clock Skew/Jitter
10%
Setup Violations
40%
Crosstalk/Noise
15%
Hold Violations
25%
8.What is the Importance of Matching in Analog Layout Design?
Company: Marvell, Texas Instruments
Occurs When Data path is too slow Data path is too fast
RTL Design
Pre-CTS STA
▸ Based on ideal clocks
▸ Helps estimate early timing violations
Post-CTS STA
▸ Real clock tree inserted
▸ Clock skew + propagation delay now
considered
Post-Route STA
▸ Net parasitics extracted
▸ Final delay and setup/hold checks done
Signoff STA
▸ With final parasitics + extracted RC
▸ Checks done across PVT corners + on-chip
variation
▸ Final decision for timing closure
11. You see a negative setup slack in STA. How do you debug it?
Company: Synopsys, Qualcomm
Debug Checklist:
1.Path Type: Is it a real, false, or multicycle path?
→ False/multicycle paths can be ignored or relaxed.
2. High Cell Delay?
→ Replace slow gates or logic cones with faster cells (cell resizing).
3. Long Net Delay?
→ Check for long wires, routing congestion → fix with buffer insertion.
4. Clock Skew?
→ If capture clock arrives earlier than launch clock, try clock tree
balancing.
5. Wrong Constraints?
→ Check if the path is constrained correctly using SDC (setup, hold,
etc.).
12. What is the purpose of false paths and multicycle paths in STA?
Company: Apple, Samsung
16. What are Clock Gating Checks in STA? Why are they important?
Company: AMD, Broadcom
Clock gating is used to save power by turning off clock to parts of the
circuit when not needed.
In STA, tools perform clock gating checks to ensure:
No glitches pass through gating logic.
Enable signal transitions are timed properly.
It include:
Setup/Hold checks on enable paths.
Glitch detection at AND/OR gates used for gating.
Improper clock gating can cause:
Glitchy clocks → leading to false triggering of flops.
Hold/setup violations due to enable signal misalignment.
17. What is the role of Liberty (.lib) files in STA?
Company: ARM, Synopsys
20. What is the difference between NLDM and CCS delay models?
Company: MediaTek, Renesas
22. What are Isolation Cells and How Are They Verified in STA?
Company: Intel, Marvell
23. What are the common causes of setup and hold violations in STA?
Company: Synopsys, AMD
Setup violation occurs when data arrives after the setup window
closes.
Hold violation happens when data arrives too early, before the hold
window.
Common causes include:
Unbalanced clock skew
Long combinational logic paths
High fanout or poor placement
Incorrect constraints or missing false/multicycle path definitions
Improper buffer sizing or over-optimization during synthesis
Fixing Setup: Optimize logic, upsize cells, buffer nets, reduce path
delay.
Fixing Hold: Insert buffers to delay data, adjust clock tree, or modify
constraints
Negative Slack means the timing path is violating its required time.
Setup Slack = Required Time – Arrival Time → if < 0 → Setup violation.
Hold Slack = Arrival Time – Required Time → if < 0 → Hold violation.
To fix negative setup slack:
Reduce logic depth
Use faster cells
Optimize net delays
To fix negative hold slack:
Add buffers or delay cells
Tweak clock tree to delay data
1. Recheck SDC
• Wrong constraints?
2. Logic Optimization
• Reduce logic depth Loop if
not fixed
3. Cell Resizing
• Use higher drive
4. Buffer Insertion
• Cut long nets
5. Floorplan Changes
• Better placement
26. What is the flow to fix a Hold Violation?
Company: Apple, NVIDIA
ICG cells are special clock gating elements used to reduce dynamic
power by disabling the clock to inactive logic blocks.
STA must verify both functional and timing correctness across enable
transitions.
Constraints used:
set_clock_gating_check -setup 0.1 -hold 0.05 [get_clocks clk]
Synthesis tools insert ICG cells with enable logic; STA must trace the
gating enable logic cone to analyze properly.
Clock gating checks are included in timing reports and signoff flows.
Misconfigured ICG paths can result in false negatives or positives in
STA reports.
Timing exceptions inform the STA tool to ignore or alter timing checks
on specific paths.
False Path (FP): A path that never functions in real operation and
should be excluded from analysis.
Example: asynchronous control lines or debug/test signals
Constraint:
set_false_path -from [get_clocks clkA] -to [get_clocks clkB]
Multicycle Path (MCP): A path that logically takes more than one clock
cycle to transfer data.
Used for low-speed logic or slow state machines
Constraint:
set_multicycle_path 2 -setup -from X -to Y
set_multicycle_path 1 -hold -from X -to Y
These exceptions override default 1-cycle setup/hold checks.
Improper use may hide real violations, so must be verified by RTL and
functional context.
Reviewed carefully during timing signoff to avoid silicon bugs.
33. Compare different types of STA corners used during timing closure
Company: Intel, STMicroelectronics
Common Use
Type of Corner Description Impact on STA
Case
35. How does On-Chip Variation (OCV) affect STA, and what is the
difference between AOCV and POCV?
Company: NVIDIA, Samsung
Start
Apply Fixes
▸ Cell delays, inteAdd delay buffers in data path
▸ Change cell sizing
Re-run STA
▸ Hold violation resolved?
Done
Clock Gating Cells (like ICGs) save power by turning off clocks to idle
modules.
They add extra timing arcs that must be validated during STA.
Tools perform checks between:
Enable signal to gate control logic
Gate control to clock pin
Timing arcs from clock enable to output are crucial to avoid glitches.
Setup/Hold analysis ensures:
Enable signal is stable before the active clock edge.
No glitch propagates to the gated clock.
Constraints are added for gating checks, and synthesis tools usually
infer these paths.
41. What are PVT Corners in STA and why are multiple corners used
for signoff?
Company: Marvell, GlobalFoundries
45. How is Clock Jitter modeled in STA and what are its types?
Company: Nvidia, Apple
46. What are Clock Skew Balancing Techniques in STA and why are
they critical?
Company: Qualcomm, Intel
Clock Skew = Difference in arrival time of the clock signal at launching vs.
capturing flip-flop.
Techniques to Balance Skew:
H-Tree / Balanced Clock Trees: Symmetric distribution to reduce skew.
Clock Buffers Insertion: Strategically placed buffers to match delays.
Useful Skew: Intentionally adjusting skew to fix setup/hold violations.
Clock Gating Aware CTS: Ensures gated elements are synchronized.
CRPR (Clock Reconvergence Pessimism Removal): Removes pessimism
caused by common clock paths.
Significance:
High skew leads to timing violations (esp. hold).
Advanced SoCs use skew-aware place & route tools.
Used in low-power and high-frequency chips.
47. How are ECO Fixes applied during STA timing closure?
Company: GlobalFoundries, Cadence
create_clock -period
create_clock Define clock
10 [get_ports clk]
set_input_delay 2.5 -
set_input_delay Input arrival time
clock clk [get_ports A]
set_output_delay 1.8 -
set_output_delay Output required time
clock clk [get_ports Z]
set_false_path -from A
set_false_path Ignore unused path
-to B
+91- 9182280927