22sdec10r - Integrated System Design Lab Workbook (1)
22sdec10r - Integrated System Design Lab Workbook (1)
AUTOMATION
22SDEC10R
1
A.Y. 2023-24 LAB/SKILL CONTINUOUS EVALUATION
S.No Date Experiment Name Pre- In-Lab (25M) Post- Viva Total Faculty
Lab Program/ Data Analysis Lab Voce (50M) Signature
(10M) Procedure and & (10M) (5M)
(5M) Results Inference
(10M) (10M)
1. Introductory Session -NA-
Construct layout of a
combinational logic design
(minimum 8 transistors) using
2.
Cadence Layout XL/ GXL
environment with zero design rule
check (DRC) and LVS errors.
Extract parasitic components of
the designed combinational logic
(minimum 8 transistors) using
3.
QRC extraction tool of cadence
and perform post-layout
simulation.
Analyze the effect of parasitic
capacitance/resistances on post
4.
layout simulation of combinational
logic circuits.
Construct layout of two different
cells and call those layouts to
5.
construct layout of a third cell
comprising of those two cells.
Design a SR latch and call the latch
6. to design a SR flipflop using
Verilog HDL in cadence digital
1
S.No Date Experiment Name Pre- In-Lab (25M) Post- Viva Total Faculty
Lab Program/ Data Analysis Lab Voce (50M) Signature
(10M) Procedure and & (10M) (5M)
(5M) Results Inference
(10M) (10M)
environment (nclaunch).
Prerequisites
- Basic understanding of CMOS technology and combinational logic.
- Familiarity with Cadence Virtuoso environment.
- Completed tutorials on Cadence schematic and layout editor basics.
Software
- Cadence Virtuoso Schematic Editor
- Cadence Virtuoso Layout XL/GXL
- Design Rule Checking (DRC) tool
- Layout Versus Schematic (LVS) tool
Procedure
The course starts with understanding the flow of VLSI Full custom design. The full custom
flow will be executed using 90 and 45 nm technologies in CADENCE analog design
environment. The hierarchy of steps that will be followed in full custom design will be as
follows:
(a) Design of schematic in transistor level using CADENCE schematic window. The
schematic will consist of electrical components like MOSFETs, resistances,
capacitances, connecting wires, ports etc. No electrical sources should be connected in
the schematic window.
(b) A symbol mapping that particular schematic should be designed in CADENCE analog
symbol window. It should be noted that the symbol will represent the schematic at the
top level. Hence the symbol input/output pin names should match with the
corresponding port names in the schematic. If it does-not match, the tool will show
warning in the CIW window. If any modifications made in schematic, the
corresponding symbol should again be updated with the modifications. All
modifications in any windows of cadence should be followed by the check and save
option.
(c) Verification of functionality of that corresponding schematic/symbol can be
performed using a new schematic called test circuit where the symbol will be called
and that symbol will be connected to sources and other electrical components for
simulation.
1
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
(d) Layout of the schematic will be performed using layout XL/GXL extension in ADE
environment. The details of steps should be followed from shared cadence manual.
After design of Layout, the layout properties will be mapped with the design rules
using DRC steps of cadence (follow manual). After correcting all errors, layout will
be matched with the corresponding schematic using LVS check steps of cadence
References
- Cadence Virtuoso Layout Editor User Guide
- CMOS VLSI Design: A Circuits and Systems Perspective by Neil H. E. Weste and David
Money Harris
Pre-Lab:
1. Discuss some of the design rules followed for designing VLSI circuits.
In-Lab:
• Circuit (Schematic):
2
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
3
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
2. What are the reasons of having a LVS mismatch though you have DRC clean layout.
Post-Lab:
1. To correct DRC and LVS errors encountered during design
• Results:
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment.
4
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Aim: To extract parasitic components from a combinational logic circuit with a minimum of
8 transistors using the Cadence Quantus QRC Extraction Tool and perform post-layout
simulation to analyze the effects of these parasitics on circuit performance.
Prerequisites
Procedure
2. Set Up Extraction:
- Load the layout of your combinational logic circuit.
- Configure extraction settings for parasitic resistance and capacitance.
- Choose appropriate extraction options based on your technology library.
3. Run Extraction:
- Execute the extraction process.
- Save the extracted parasitic components to a file (usually a `.spef` or similar file format).
5
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
2. Configure Simulation:
- Set up the testbench with appropriate stimulus for the inputs.
- Configure simulation parameters (e.g., transient analysis).
3. Run Simulation:
- Perform the post-layout simulation with parasitics included.
- Analyze the results, focusing on the impact of parasitics on performance metrics such as
delay, power, and signal integrity.
2. Document Findings:
- Summarize the key differences observed in the simulations.
- Provide insights into how parasitics affect the combinational logic circuit.
Prelab Questions
6
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
In-Lab:
• Circuit (Schematic):
7
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
References
8
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Post-Lab:
1. To correct QRC errors encountered during design
• Results:
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment.
9
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Prerequisites
Procedure
(a) Layout of the schematic will be performed using layout XL/GXL extension in ADE
environment. The details of steps should be followed from shared cadence manual. After
design of Layout, the layout properties will be mapped with the design rules using DRC
steps of cadence (follow manual). After correcting all errors, layout will be matched with
the corresponding schematic using LVS check steps of cadence
(b) Next, you have to do RC extraction of the DRC/LVS clean design using QRC tool of
cadence. Follow the steps from cadence manual for RC extraction of the circuit. After
successful RC extraction you will see the av_extracted view attached to the schematic of the
design.
(c) Next, a configuration (config) file has to be mapped to the test circuit of the
corresponding schematic that will help mapping the parasitic components to the test circuit of
the designs.
10
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Prelab Questions
In-Lab:
• Circuit (Schematic):
11
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
2. How do parasitic resistances and capacitances affect the performance of a VLSI Design.
12
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
References
Post-Lab:
1. To correct QRC errors encountered during design and compare pre layout with post
layout results.
• Results:
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment.
13
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
EXP 4: Construct layout of two different cells and call those layouts to construct layout
of a third cell comprising of those two cells
Aim
To construct the layout of two different cells and use those layouts to create a third cell
comprising the first two cells, demonstrating hierarchical design in Cadence Virtuoso.
Prerequisites
Procedure
Prelab Questions
2. How do DRC and LVS checks help ensure the correctness of a VLSI layout?
14
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
3. Explain the process of instantiating a cell within another cell in Cadence Virtuoso.
In-Lab:
• Circuit (Schematic):
15
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
1. Explain the process of parasitic extraction in Cadence QRC and the calling of those
parasitics in top modules for verification
2. How do parasitic resistances and capacitances affect the performance of a VLSI Design.
References
16
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Post-Lab:
1. To execute post layout simulation of a top module by calling RC-extracted layout of
submodules for VLSI analog circuits.
• Results:
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment
17
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
EXP no: 5
Design a SR latch and call the latch to design a SR flipflop using Verilog HDL in
cadence digital environment (nclaunch).
Aim
To design an SR latch and use it to design an SR flip-flop using Verilog HDL in the Cadence
digital environment (nclaunch).
Prerequisites
Procedure
18
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
- Write the Verilog code for the SR flip-flop using the SR latch module
2. Document Findings:
- Document the results of the simulation, including screenshots of waveforms and a
summary of the behavior observed.
Prelab Questions
In-Lab:
• Verilog code along with test bench:
19
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
20
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
2. Explain the hierarchical steps in cadence digital environment followed for simulation
using nclaunch.
References
Post-Lab:
1. To design a top modules while calling submodules for VLSI digital design using
nclaunch.
21
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment
22
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Exp no: 6
Extract RTL of counter logic after synthesis and report area, timing, power dissipated
by the design (use genus tool).
Aim
To extract the RTL of a counter logic circuit after synthesis and report the area, timing, and
power dissipation using the Cadence Genus tool.
Prerequisites
Procedure
$ source /home/install/cshrc
Welcome to Cadence tools Suite
$ genus
@genus:root: 1> read_libs /home/install/FOUNDRY/digital/90nm/dig/lib/slow.lib
@genus:root: 2> read_hdl counter.v
@genus:root: 3> elaborate
@genus:root: 4> read_sdc constraints_top.sdc
@genus:root: 5> gedit constraints_top.sdc
@genus:root: 6> read_sdc constraints_top.sdc
@genus:root: 7> syn_generic
@genus:root: 8> syn_map
@genus:root: 9> syn_opt
@genus:root: 10> report_power
@genus:root: 11> report_power > counter_power.repo
@genus:root: 12> report_power > counter_power.report
23
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Results
Prelab Questions
2. How does the synthesis process affect the area, timing, and power of a digital
circuit?
24
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
RTL Design:
Viva Questions
1. Explain the steps involved in the synthesis process using Cadence Genus.
3. What are the key factors that affect the timing performance of a digital circuit?
25
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
References
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment
26
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Exp no : 7
Design layout of counter logic using innovus tool and report post-layout area, timing,
power of the design. Compare with the pre layout results.
Aim
To design the layout of a counter logic circuit using the Cadence Innovus tool and
report the post-layout area, timing, and power. Compare these results with the pre-
layout synthesis results.
Prerequisites
Procedure
We need to invoke innovus tool for digital layout design of the logic circuits. The detailed
Prelab Questions
1. What is the purpose of synthesis and place and route in digital design?
2. How does the synthesis process differ from the place and route process?
27
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
28
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
1. Explain the steps involved in the synthesis process using Cadence Genus.
2. How does place and route impact the area, timing, and power of a digital circuit?
3. What are the typical challenges encountered during the place and route process?
---
References
- Digital Design and Computer Architecture by David Harris and Sarah Harris
- CMOS VLSI Design: A Circuits and Systems Perspective by Neil H. E. Weste and
Post-Lab:
29
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
2. To design layout of top modules while calling submodules for VLSI digital design
using nclaunch.
• Layout:
Viva Questions
5. Explain the steps involved in the synthesis process using Cadence Genus.
6. How does place and route impact the area, timing, and power of a digital circuit?
30
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
7. What are the typical challenges encountered during the place and route process?
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment
31
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Exp no : 8
Undergo timing analysis of counter logic using innovus and check for set-up and hold
time violations. Save and Export the design for tape out.
Aim
Undergo timing analysis of counter logic using innovus and check for set-up and hold
time violations. Save and Export the design for tape out.
Prerequisites
Procedure
Timing analysis after layout using Cadence Innovus involves several detailed steps to ensure
that the design meets its timing requirements. Here is a step-by-step guide:
```shell
innovus -files my_design.enc
```
Or within the Innovus GUI, you can open your design using the appropriate commands or
menu options.
```shell
createClockTreeSpec ...
optDesign -cts ...
```
```shell
routeDesign ...
```
```shell
extractRC ...
```
33
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
```shell
timeDesign -preCts
timeDesign -postCts
timeDesign -postRoute
```
These commands analyze the timing at different stages of the design flow. Typically, you
would check the timing at each stage to ensure that the design meets timing requirements
before moving on to the next stage.
34
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
```shell
optDesign ...
```
```shell
extractRC
timeDesign -postRoute
report_timing -max_paths 10
report_timing -hold -max_paths 10
```
```shell
saveDesign my_final_design.enc
write_sdf my_final_design.sdf
write_def my_final_design.def
```
This guide outlines the critical steps for performing timing analysis after layout using
Cadence Innovus. The exact commands and flow may vary based on your design and project
requirements.
Prelab Questions
35
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
In-Lab:
• Layout design with timing analysis
36
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
2. Explain the hierarchical steps in cadence digital environment followed for simulation
using nclaunch.
37
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
References
Post-Lab:
3. T,iming violations in digital circuits
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment
38
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Exp no : 9
Interface FPGA with XILINX digital design environment to implement the counter logic into the
FPGA.
Aim
Interface FPGA with XILINX digital design environment to implement the counter logic into the
FPGA.
Prerequisites
XILINX VIVADO
Procedure
Implementing logic circuits on an FPGA using Xilinx tools involves several key steps, from
initial design to final hardware testing. Below is a detailed guide using Xilinx Vivado, which
is the current standard tool from Xilinx for FPGA development.
39
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
40
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
- **Connect to FPGA**: Connect your FPGA development board to your computer via USB
and power it on.
- **Auto Connect**: Click on "Open Target" and select "Auto Connect".
- **Program Device**: Click on the "Program Device" button and select the generated
bitstream file (.bit).
By following these steps, you can successfully implement logic circuits on an FPGA using
the Xilinx Vivado Design Suite.
Prelab Questions
41
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
In-Lab:
• FPGA Implementation
42
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
2. Explain the hierarchical steps in cadence digital environment followed for simulation
using nclaunch.
References
43
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Post-Lab:
4. T,iming violations in digital circuits
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment
44
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Exp no : 10
Construct a FSM with Verilog and undergo simulation and subsequent synthesis using nclaunch and
Aim
Construct a FSM with Verilog and undergo simulation and subsequent synthesis using nclaunch and
Prerequisites
XILINX VIVADO
Procedure
Implementing logic circuits on an FPGA using Xilinx tools involves several key steps, from
initial design to final hardware testing. Below is a detailed guide using Xilinx Vivado, which
is the current standard tool from Xilinx for FPGA development.
45
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
46
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
- **Connect to FPGA**: Connect your FPGA development board to your computer via USB
and power it on.
- **Auto Connect**: Click on "Open Target" and select "Auto Connect".
- **Program Device**: Click on the "Program Device" button and select the generated
bitstream file (.bit).
By following these steps, you can successfully implement logic circuits on an FPGA using
the Xilinx Vivado Design Suite.
Prelab Questions
47
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
In-Lab:
• FPGA Implementation
48
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
2. Explain the hierarchical steps in cadence digital environment followed for simulation
using nclaunch.
References
49
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Post-Lab:
5. T,iming violations in digital circuits
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment
50
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Exp no : 11
Undergo spice simulation of combinational circuits (minimum 10 transistors) using LTSpice and
Aim
Undergo spice simulation of combinational circuits (minimum 10 transistors) using LTSpice and
Prerequisites
LTSpice
Procedure
Prelab Questions
51
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
In-Lab:
• Spice Implementation
52
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
2. Explain the hierarchical steps in cadence digital environment followed for simulation
using nclaunch.
References
Post-Lab:
6. Spice implementation of large blocks.
53
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment
54
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Exp no : 12
Undergo spice simulation of sequential circuits (minimum 10 transistors) using LTSpice and undergo
transient analysis
Aim
Undergo spice simulation of sequential circuits (minimum 10 transistors) using LTSpice and undergo
transient analysis
Prerequisites
LTSpice
Procedure
Prelab Questions
55
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
In-Lab:
• Spice Implementation
56
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Viva Questions
2. Explain the hierarchical steps in cadence digital environment followed for simulation
using nclaunch.
References
Post-Lab:
7. Spice implementation of large blocks.
57
Experiment <TO BE FILLED BY STUDENT> Student ID <TO BE FILLED BY STUDENT>
Date <TO BE FILLED BY STUDENT> Student Name <TO BE FILLED BY STUDENT>
Evaluator MUST ask Viva-voce prior to signing and posting marks for each experiment
58