0% found this document useful (0 votes)
46 views15 pages

(Video Title) : FPGA Design For Embedded Systems

Uploaded by

Al Amin
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PPTX, PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
46 views15 pages

(Video Title) : FPGA Design For Embedded Systems

Uploaded by

Al Amin
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PPTX, PDF, TXT or read online on Scribd
You are on page 1/ 15

[Video Title]

FPGA Design for Embedded Systems


Hardware Description
[Instructor Name(s)]
Languages for Logic Design
[Department Name]

Copyright © 2019 University of Colorado


VHDL Simulation Example : 4-bit Adder
-- Entity
entity Add4 is port (
Data1,Data2 : in std_logic_vector(3 downto 0);
Cin : in std_logic;
Cout : out std_logic;
Sum : out std_logic_vector(3 downto 0) );
end entity Add4;

-- Architecture
architecture RTL of Add4 is
signal Out5bit : std_logic_vector(4 downto 0);
begin
Out5bit <= (‘0’ & Data1) + (‘0’ & Data2) + Cin;
Sum <= Out5bit(3 downto 0); -- 4 bits
Cout <= Out5bit(4); -- 5th bit
end architecture RTL;

Copyright © 2019 University of Colorado


Downloading ModelSim : Go to Link :
https://www.mentor.com/company/higher_ed/modelsim-student-edition

Copyright © 2019 University of Colorado


Downloading ModelSim Alternative
• If you took the Introduction to FPGA Design
Course, Course 1 of this video series, you may
already have : ModelSim-Altera version of
ModelSim installed.

• If you did not take Course 1 the Altera FPGA


version is still a good alternative.

• Follow the directions in ALTERA QUARTUS


DOWNLOAD AND INSTALLATION.docx to install
the Altera version of ModelSim.

Copyright © 2019 University of Colorado


Downloading Modelsim

Copyright © 2019 University of Colorado


Downloading Modelsim

Copyright © 2019 University of Colorado


Downloading Modelsim

Copyright © 2019 University of Colorado


Downloading Modelsim

Copyright © 2019 University of Colorado


Downloading Modelsim

Copyright © 2019 University of Colorado


Installing ModelSim
• After the file downloads completely,
double-click on the .exe file to begin the
installation process.
• You must agree to the Mentor Graphics
End-User License agreement during
installation to continue.

Copyright © 2019 University of Colorado


Installing ModelSim
• Double-click on the .exe file to begin the installation process.

Copyright © 2019 University of Colorado


Installing ModelSim

Copyright © 2019 University of Colorado


Installing ModelSim

Copyright © 2019 University of Colorado


Installing ModelSim

Copyright © 2019 University of Colorado


Summary –
In this video, you have learned:
• How to download an HDL Simulator,
ModelSim from Mentor Graphics
• How to install ModelSim on your PC

Copyright © 2019 University of Colorado

You might also like