Riviera Pro
Riviera Pro
Palash Gupta
Application Engineer
Significance Of Design Verification
Detecting and fixing design flaws
Improving time-to-market
2
2 www.aldec.com Aldec Overview
Challenges and Pain Points
Increasing design complexity
Time-to-market pressure
3
3 www.aldec.com Aldec Overview
Aldec Overview
• Provider of state-of-the-art design/verification
tools for SoC, FPGAs/ASICs
• Founded 1984
• Privately Held, Profitable
• Over 35,000 + active user community
• 50+ global partners
• Aldec Solutions
FPGA DESIGN
FUNCTIONAL VERIFICATION
EMULATION/ACCELERATION
EMBEDDED SOLUTIONS
ASIC/SOC PROTOTYPING
HIGH PERFORMANCE COMPUTING
MIL/AERO SOLUTIONS
• Key Technology Patents
4
4 www.aldec.com Aldec Overview
Aldec Partnerships
Unite Partners
Unite IP Partners
Training Partners
5
5 www.aldec.com Aldec Overview
6
Aldec Customers (Software-based Solutions)
6
6 www.aldec.com Aldec Overview
7
Aldec Customers (Hardware-based Solutions)
7
7 www.aldec.com Aldec Overview
Technology Focus
Design Creation
Verification
Hardware Validation
Niche Solution
8
8 www.aldec.com Aldec Overview
Aldec Products
9
9 www.aldec.com Aldec Overview
Riviera-Pro
10
10 www.aldec.com Aldec Overview
Riviera-Pro
11
11 www.aldec.com Aldec Overview
Riviera-Pro
12
12 www.aldec.com Aldec Overview
Features
Assertion-based verification
(ABV)
Advanced simulation
performance
Coverage-driven verification
(CDV)
Debugging and analysis features
Mixed-language and mixed-
signal support
System-Verilog support
Easy integration with other tools
and methodologies
Extensive user community and
support
13
1 www.aldec.com
Riviera- Pro
Supported Standards
Design Entry and Design Management
-VHDL IEEE 1076 (1993, 2002, 2008
-HDL and Text Editor
and 2019)
-UVM Generator & Register Model
-Verilog® HDL IEEE 1364 (1995, 2001
Generator
and 2005)
-Auto-Complete and Code Templates
-SystemVerilog IEEE 1800 - 2012
-Automatic Code Analysis
(Design)
-Design Manager
-SystemC™ 2.3.1 IEEE 1666™/TLM 2.0
-Customizable GUI Perspectives and
-SystemVerilog IEEE 1800™ (2005,
favorites
2009 and 2012) - Verification
-Task Management
-Verification Libraries (OSVVM, UVVM,
-Macro, Tcl, Perl script support
cocotb)
-IP-XACT IEEE 1685 (2009 and 2014)
as a UVM register generator input
-Universal Verification Methodology
14
1 www.aldec.com
Riviera- Pro
Debug and Analysis Simulation/Verification
16
1 www.aldec.com
AMD® Versal™ ACAP Designs
17
1 www.aldec.com
Create with Riviera-PRO
• Industry-leading
performance
18
1 www.aldec.com
Design Management
› Design Manager facilitates management of workspaces and designs:
› Adding/removing/activation of designs
› Adding/removing/opening sources
› Compilation of files and designs
› Selection of config. (debug/release)
19
1 www.aldec.com
Library Management
› Libraries window allows performing various
operations on libraries:
› View and manipulate library contents
› Create and delete libraries
› Create and delete library mappings and links
› Initialize simulation
20
2 www.aldec.com
Customizable Perspectives
› Five predefined perspectives for quick change of GUI layout while
switching between different tasks:
› Default – ideal for basic design management and quick simulation
(Design and Library Managers, Documents and Console)
› Debug – shows debugging tools for detailed analysis of simulation
(Breakpoint editors, Watch, Call Stack, Assertion/Cover viewers, etc.)
› Coverage – activating this perspective opens windows
helpful while analyzing coverage results
› Console – maximizes the Console to facilitate analysis of
simulation messages
› Documents – removes all windows but Documents;
21
2 www.aldec.com
Favorite
s › Pin your favorites
scripts, executables or
viewers, wherever you
want
22
2 www.aldec.com
HDL Editor
› Support of all standard file types:
› VHDL, Verilog/SystemVerilog
› SystemC, C/C++
› SVA, OVA, PSL
› EDIF, SDF, Tcl/Tk, .do Macro
› Enhanced code visualization:
› Syntax highlighting
› Structure generation
› Back-annotation
› Auto-formatting
› Block editing
› Auto-complete, Code Templates
› Bookmarks
› Enhanced printing options
› Automatic Code Analysis
23
2 www.aldec.com
Outline
› Outline window is a tool that displays
elements of source code while a source
file is edited in the HDL Editor and before
it is compiled to a working library
24
2 www.aldec.com
Project Tasks Management
› The Tasks window enables managing tasks scheduled to do in a project;
the tasks can come from:
› Source code analysis (predefined TODO and FIXME tags)
› User-defined items in the Tasks window
Tagged comments…
…are auto-recognized
25
2 www.aldec.com
Testbench Creation
26
www.aldec.com
FSM Coverage
27
www.aldec.com
UVM Generator
› UVM Generator is a tool that helps
starting a new UVM design.
29
www.aldec.com
UVM Registers Window
30
www.aldec.com
Simulate with Riviera-PRO
Simulate
• Industry-
leading
performance
31
www.aldec.com
Languages and Platforms
32
www.aldec.com
Verification Libraries
› Universal Verification Methodology (UVM) is a standard to enable
guaranteed development and reuse of verification environments and
verification IP (VIP) throughout the electronics industry.
› The latest UVM library is supported (UVM 1800.2-2017)
› Expanded transaction visualization capabilities
› Scripting shortcuts
Examples Library
33
www.aldec.com
High Performance Simulation Kernel
34
www.aldec.com
Advanced Scripting with Tcl
35
www.aldec.com
IP Encryption
36
www.aldec.com
Simulation Interfaces
37
www.aldec.com
MATLAB® Co-Simulation Interface
› Riviera-PRO provides bidirectional MATLAB/Simulink/HDL Coder
co-simulation interface, enabling engineers to:
› Verify that their ASIC/FPGA implementations match system specs
› Perform system-level hardware verification
HDL HDL
𝑦= Co-Sim. Interface
𝑓𝑓(𝑥)
HDL HDL
38
www.aldec.com
OpenCPI Support
› OpenCPI also helps with code portability and reusability by providing the APIs,
software modules and IP cores that abstract the complexities of the underlying
hardware platform
39
www.aldec.com
QEMU Interface – Aldec QEMU Bridge
40
www.aldec.com
QEMU Interface – Aldec QEMU Bridge
www.aldec.com
Emulation & Acceleration Integration
(The Verification Spectrum option)
› Aldec HES-DVM™
› Acceleration – speedup
verification process
x10—100 times using
integrated HW/SW
co-simulation solution
› Emulation – verify your design
in real hardware using the
TLM testbench with SCE-MI
interface
› Aldec HES
› FPGA-based ASIC prototyping
› Scalable up to 633 million
42
gates
www.aldec.com
Regression Test Automation
(The Verification Spectrum option)
› Aldec SFM™
› Server Farm Manager + Riviera-PRO =
complete solution for regression testing
› 32/64-bit platform support
› Remote administration through the web-
interface:
› Set-up, Execution and Data Analysis
› Compare test results across design versions
› Revision history, regressions and bug tracking
› Quick Test Scenarios
› Predefined scripts for typical EDA tools
43
www.aldec.com
Analyze with Riviera-PRO
Simulate
• Industry-
leading
performance
44
www.aldec.com
Dataset Management
45
www.aldec.com
Advanced Waveform Viewer
46
www.aldec.com
Breakpoint Management
47
www.aldec.com
Watch Window
48
www.aldec.com
Subprogram Tracing
49
www.aldec.com
Signal Drivers and Readers
50
www.aldec.com
Contributors
51
www.aldec.com
Dataflow Visualization
52
www.aldec.com
Cause Finder
53
www.aldec.com
FSM Window
› FSM window displays the color-coded graph that shows state transition
54
www.aldec.com
Memory Viewer
55
www.aldec.com
Transaction-Level Debugging
TLM Wavefor
Simulator
Testbench m File
(.asdb)
56
www.aldec.com
Classes Window
57
www.aldec.com
SystemVerilog Classes in Waveform
58
www.aldec.com
UVM Graph
Sequencer
Driver Monitor
59
www.aldec.com
UVM Toolbox
› UVM Configuration
window presents the resources
available in the UVM
configuration database during
interactive debugging session
60
www.aldec.com
Assertion and Cover Viewers
› The Assertions and Covers windows show:
› PSL, SVA, and OVA assertions and cover statements bound to the design
› Assertion/cover names, signals used in each assertion, assertion state,
assertion execution counts, etc.
61
www.aldec.com
Code and Functional Coverage Viewers
› Dedicated tools and .html reports that display coverage data
gathered during simulation:
› Code Coverage (Statement, Branch,
Expression/Condition, FSM, Toggle, Path)
› Functional (Assertion, Covergroup)
Coverage
62
www.aldec.com
Code and Functional Coverage Viewers
63
www.aldec.com
Covergroup Viewer
65
www.aldec.com
FSM Debugging
› FSM table and FSM Attributes window allows to seek for time, values
and transitions between selected states
› FSM List window provides detailed information about coverage of all
FSM’s in the design
66
www.aldec.com
Verification Plan
67
www.aldec.com
Alias Management
› Note: when view of the object does not allow readable display of alias mnemonic
(due to zoom-out condition), distinctive color is still visible.
68
www.aldec.com
Built-in Console
69
www.aldec.com
Plot-Based Data Visualization
70
www.aldec.com
Histogra
m › Histogram from Object or File ( View->Debug->Histogram )
71
www.aldec.com
RTL Design Rule Checking
(Aldec ALINT-PRO - The Verification Spectrum option)
› Detects wide variety of design
problems at design entry
› Be aware of hidden bugs
(from synthesizability to CDC and
DFT issues)
› Prevent issues at subsequent stages
of the flow (avoid costly re-spins)
› Get started quickly using predefined
design checking policies
› Pick a dedicated rule plug-in
(STARC, DO-254, RMM, CDC)
› Use GUI pplication for efficient analysis
of rule violations
72
www.aldec.com
Testimonials
73
www.aldec.com
Summary
› Comprehensive verification environment for SoC/ASIC/FPGA
› Industry-leading simulation performance and capacity
› Linux and Windows 64-bit OS support
› Comprehensive support of standard languages
› Integration with other products (Aldec and other vendors)
› Comprehensive integrated debugging and linting environment
› Complete coverage support for metric-driven verification
› Comprehensive support for OVM, UVM, OSVVM, UVVM
› SW/HW Co-simulation
74
www.aldec.com
Active-HDL™
FPGA DESIGN CREATION
AND SIMULATION
Windows® based, integrated FPGA Design Creation
and Simulation solution for team-based environments.
› Superior debugging capabilities with automatic instrumentation, 100% visibility HVD technology and full
controllability
› Automated and scriptable design setup tool – DVM – supporting gated clocks and advanced partitioning
› VIP Library - Bus Models and Peripherals
› Supports Linux® and Windows®