SlideShare a Scribd company logo
PROGRAMMING IN HDL(SECA1605)
MENTOR
Dr.T.RAVI
Department of ECE
Sathyabama Institute of Science and Technology
Course Outcome
After the completion of course student will be able to
CO1 Understand the requirements of VHDL design flow
CO2 Interpret the Verilog language elements and its relevance to
digital design
CO3 Apply the Modelling Styles for Simulation, Synthesis and
Test Bench Creation.
CO4 Analyse the Performance Study of Combinational and
Sequential logic design using Verilog
CO5 Evaluate State Machine and Memory designs by Verilog
CO6 Create and realize the system in FPGA using Verilog
UNIT 5
REALIZING APPLICATIONS IN FPGA
FPGA Design Flow - Architecture of Xilinx Artix7 FPGA - Configurable Logic Blocks
(CLB)- Slice Description- LUT - Storage element - Programmable Interconnect -
Internal Hard macros - Realizing applications in FPGA - combinational functions -
N-bit functions, Encoder, Decoders - Sequential functions - N-bit register, shift
registers, up/down counters- N-bit processor. Case Study: study of protocols I2C,
SPI and UART.
VLSI DESIGN FLOW
Detailed (RTL)
Design
Design
Ideas
(Specifications)
Device
Programming
Timing
Simulation
Synthesis &
Implementation
Functional
Simulation
tpd=22.1ns
fmax=47.1MHz
FPGA
CPLD
FPGA DESIGN FLOW
FPGA DESIGN FLOW
FPGA Design Translation
• CAD to translate circuit from text description to physi
cal implementation
• Most current FPGA designers use register-transfer l
evel specification
RTL
.
.
C = A+B
.
Circuit
A
B
+ C
Array
Register Transfer-Level Design
• A register-transfer machine has combinational logic connecting
registers:
D
Q Combinational
Logic
D Q
D Q Combinational
Logic
Combinational
Logic
Lect-14.9
FPGA Circuit Compilation
• Technology Mapping
• Placement
• Routing
LUT
LUT
Assign a logical LUT to a physical location
Select wire segments and switches for
interconnection
Lect-14.10
FPGA Design Flow (Xilinx)
Design Entry
Synthesis
Implementation
Device
Programming
Functional
Simulation
Timing
Simulation
HDL files,
schematics
EDIF/XNF
netlist
NGD Xilinx
primitives file
FPGA bitstream
Lect-14.11
Design Flow with Test
Design and implement a simple unit permitting to speed
up encryption with RC5-similar cipher with fixed key set
on 8031 microcontroller. Unlike in the experiment 5, this
time your unit has to be able to perform an encryption
algorithm by itself, executing 32 rounds…..
Library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity RC5_core is
port(
clock, reset, encr_decr: in std_logic;
data_input: in std_logic_vector(31 downto 0);
data_output: out std_logic_vector(31 downto 0);
out_full: in std_logic;
key_input: in std_logic_vector(31 downto 0);
key_read: out std_logic;
);
end RC5_core;
Specification
VHDL
description
Functional simulation
Post-synthesis simulation
Synthesized
Circuit
Lect-14.12
Design Flow with Test (cont.)
Implementation
Configuration
Timing simulation
On chip testing
Post-synthesis simulation
Synthesized
Circuit
Lect-14.13
Implementation
Implementation
UCF
NGD
EDIF NCF
Native Generic Database file
Constraint Editor
User Constraint File
Native
Constraint
File
Electronic Design
Interchange Format
Circuit netlist Timing Constraints
Synthesis
Lect-14.14
Circuit Netlist and Mapping
LUT2
LUT3
LUT4
LUT5
FF1
FF2
LUT1
LUT0
Lect-14.15
Placing and Routing
Programmable Connections
FPGA
Lect-14.16
Configuration
• Once a design is implemented, you must create a file that the
FPGA can understand
• This file is called a bit stream: a BIT file (.bit extension)
• The BIT file can be downloaded directly to the FPGA, or can be
converted into a PROM file which stores the programming
information
Consists of…
• Real 6-input look-up table (LUT) technology
• Dual LUT5 (5-input LUT) option
• Distributed Memory and Shift Register Logic capability
• Dedicated high-speed carry logic for arithmetic functions
• Wide multiplexers for efficient utilization
7 series configurable logic block (CLB)
➢ CLBs are the main logic resources for implementing sequential as well as
combinatorial circuits
➢ Each CLB element is connected to a switch matrix for access to the
general routing matrix
Arrangement of Slices within the CLB
7 Series FPGA
Row and Column Relationship between CLBs and Slices
SLICEM and SLICEL
SLICEM
SLICEL
Unit 5_Realizing Applications in FPGA.pdf

More Related Content

Similar to Unit 5_Realizing Applications in FPGA.pdf (20)

PDF
Project report of 2016 Trainee_final
Akash Chowdhury
 
RTF
4_BIT_ALU
Sohel Siddique
 
PDF
Nt1310 Unit 5 Algorithm
Angie Lee
 
PDF
Fpga based encryption design using vhdl
eSAT Publishing House
 
PDF
M04302093096
ijceronline
 
DOCX
RAM TESTBEANCH_VHDL ejemplos ------ .docx
karolco
 
PDF
5and6 electronics
Amit Khowala
 
PDF
Digital Systems Design Using Verilog 1st Edition Charles H Roth
boylllolloh4
 
PDF
Summer training vhdl
Arshit Rai
 
PPTX
FPGA workshop
Alex Borisevich
 
PDF
(eBook PDF) Digital Design: With an Introduction to the Verilog HDL, VHDL, an...
smihtmuangu
 
PDF
D I G I T A L I C A P P L I C A T I O N S J N T U M O D E L P A P E R{Www
guest3f9c6b
 
PDF
Digital Ic Applications Jntu Model Paper{Www.Studentyogi.Com}
guest3f9c6b
 
PPTX
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
wafawafa52
 
PDF
3160914
Anjana Prassana
 
PDF
(eBook PDF) Digital Design: With an Introduction to the Verilog HDL, VHDL, an...
jasimkimmiyd
 
DOCX
Fpg as 11 body
Rameez Raja
 
PDF
Resume
Ayushi Agarwal
 
PPTX
Seminar on field programmable gate array
Saransh Choudhary
 
DOCX
Lalit Singh FPGA resume
Lalit singh
 
Project report of 2016 Trainee_final
Akash Chowdhury
 
4_BIT_ALU
Sohel Siddique
 
Nt1310 Unit 5 Algorithm
Angie Lee
 
Fpga based encryption design using vhdl
eSAT Publishing House
 
M04302093096
ijceronline
 
RAM TESTBEANCH_VHDL ejemplos ------ .docx
karolco
 
5and6 electronics
Amit Khowala
 
Digital Systems Design Using Verilog 1st Edition Charles H Roth
boylllolloh4
 
Summer training vhdl
Arshit Rai
 
FPGA workshop
Alex Borisevich
 
(eBook PDF) Digital Design: With an Introduction to the Verilog HDL, VHDL, an...
smihtmuangu
 
D I G I T A L I C A P P L I C A T I O N S J N T U M O D E L P A P E R{Www
guest3f9c6b
 
Digital Ic Applications Jntu Model Paper{Www.Studentyogi.Com}
guest3f9c6b
 
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
wafawafa52
 
(eBook PDF) Digital Design: With an Introduction to the Verilog HDL, VHDL, an...
jasimkimmiyd
 
Fpg as 11 body
Rameez Raja
 
Seminar on field programmable gate array
Saransh Choudhary
 
Lalit Singh FPGA resume
Lalit singh
 

Recently uploaded (20)

PPTX
Solar Thermal Energy System Seminar.pptx
Gpc Purapuza
 
PDF
6th International Conference on Machine Learning Techniques and Data Science ...
ijistjournal
 
PPTX
Benefits_^0_Challigi😙🏡💐8fenges[1].pptx
akghostmaker
 
PPTX
Heart Bleed Bug - A case study (Course: Cryptography and Network Security)
Adri Jovin
 
PDF
Introduction to Productivity and Quality
মোঃ ফুরকান উদ্দিন জুয়েল
 
PDF
Water Design_Manual_2005. KENYA FOR WASTER SUPPLY AND SEWERAGE
DancanNgutuku
 
PPTX
MobileComputingMANET2023 MobileComputingMANET2023.pptx
masterfake98765
 
PDF
MAD Unit - 2 Activity and Fragment Management in Android (Diploma IT)
JappanMavani
 
PDF
Ethics and Trustworthy AI in Healthcare – Governing Sensitive Data, Profiling...
AlqualsaDIResearchGr
 
PPTX
artificial intelligence applications in Geomatics
NawrasShatnawi1
 
PDF
MAD Unit - 1 Introduction of Android IT Department
JappanMavani
 
PPTX
原版一样(Acadia毕业证书)加拿大阿卡迪亚大学毕业证办理方法
Taqyea
 
PPTX
Introduction to Design of Machine Elements
PradeepKumarS27
 
PPTX
Arduino Based Gas Leakage Detector Project
CircuitDigest
 
PPTX
Break Statement in Programming with 6 Real Examples
manojpoojary2004
 
PDF
International Journal of Information Technology Convergence and services (IJI...
ijitcsjournal4
 
PDF
Statistical Data Analysis Using SPSS Software
shrikrishna kesharwani
 
PPTX
Server Side Web Development Unit 1 of Nodejs.pptx
sneha852132
 
PPTX
Green Building & Energy Conservation ppt
Sagar Sarangi
 
PPTX
UNIT DAA PPT cover all topics 2021 regulation
archu26
 
Solar Thermal Energy System Seminar.pptx
Gpc Purapuza
 
6th International Conference on Machine Learning Techniques and Data Science ...
ijistjournal
 
Benefits_^0_Challigi😙🏡💐8fenges[1].pptx
akghostmaker
 
Heart Bleed Bug - A case study (Course: Cryptography and Network Security)
Adri Jovin
 
Introduction to Productivity and Quality
মোঃ ফুরকান উদ্দিন জুয়েল
 
Water Design_Manual_2005. KENYA FOR WASTER SUPPLY AND SEWERAGE
DancanNgutuku
 
MobileComputingMANET2023 MobileComputingMANET2023.pptx
masterfake98765
 
MAD Unit - 2 Activity and Fragment Management in Android (Diploma IT)
JappanMavani
 
Ethics and Trustworthy AI in Healthcare – Governing Sensitive Data, Profiling...
AlqualsaDIResearchGr
 
artificial intelligence applications in Geomatics
NawrasShatnawi1
 
MAD Unit - 1 Introduction of Android IT Department
JappanMavani
 
原版一样(Acadia毕业证书)加拿大阿卡迪亚大学毕业证办理方法
Taqyea
 
Introduction to Design of Machine Elements
PradeepKumarS27
 
Arduino Based Gas Leakage Detector Project
CircuitDigest
 
Break Statement in Programming with 6 Real Examples
manojpoojary2004
 
International Journal of Information Technology Convergence and services (IJI...
ijitcsjournal4
 
Statistical Data Analysis Using SPSS Software
shrikrishna kesharwani
 
Server Side Web Development Unit 1 of Nodejs.pptx
sneha852132
 
Green Building & Energy Conservation ppt
Sagar Sarangi
 
UNIT DAA PPT cover all topics 2021 regulation
archu26
 
Ad

Unit 5_Realizing Applications in FPGA.pdf

  • 1. PROGRAMMING IN HDL(SECA1605) MENTOR Dr.T.RAVI Department of ECE Sathyabama Institute of Science and Technology
  • 2. Course Outcome After the completion of course student will be able to CO1 Understand the requirements of VHDL design flow CO2 Interpret the Verilog language elements and its relevance to digital design CO3 Apply the Modelling Styles for Simulation, Synthesis and Test Bench Creation. CO4 Analyse the Performance Study of Combinational and Sequential logic design using Verilog CO5 Evaluate State Machine and Memory designs by Verilog CO6 Create and realize the system in FPGA using Verilog
  • 3. UNIT 5 REALIZING APPLICATIONS IN FPGA FPGA Design Flow - Architecture of Xilinx Artix7 FPGA - Configurable Logic Blocks (CLB)- Slice Description- LUT - Storage element - Programmable Interconnect - Internal Hard macros - Realizing applications in FPGA - combinational functions - N-bit functions, Encoder, Decoders - Sequential functions - N-bit register, shift registers, up/down counters- N-bit processor. Case Study: study of protocols I2C, SPI and UART.
  • 7. FPGA Design Translation • CAD to translate circuit from text description to physi cal implementation • Most current FPGA designers use register-transfer l evel specification RTL . . C = A+B . Circuit A B + C Array
  • 8. Register Transfer-Level Design • A register-transfer machine has combinational logic connecting registers: D Q Combinational Logic D Q D Q Combinational Logic Combinational Logic
  • 9. Lect-14.9 FPGA Circuit Compilation • Technology Mapping • Placement • Routing LUT LUT Assign a logical LUT to a physical location Select wire segments and switches for interconnection
  • 10. Lect-14.10 FPGA Design Flow (Xilinx) Design Entry Synthesis Implementation Device Programming Functional Simulation Timing Simulation HDL files, schematics EDIF/XNF netlist NGD Xilinx primitives file FPGA bitstream
  • 11. Lect-14.11 Design Flow with Test Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment 5, this time your unit has to be able to perform an encryption algorithm by itself, executing 32 rounds….. Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity RC5_core is port( clock, reset, encr_decr: in std_logic; data_input: in std_logic_vector(31 downto 0); data_output: out std_logic_vector(31 downto 0); out_full: in std_logic; key_input: in std_logic_vector(31 downto 0); key_read: out std_logic; ); end RC5_core; Specification VHDL description Functional simulation Post-synthesis simulation Synthesized Circuit
  • 12. Lect-14.12 Design Flow with Test (cont.) Implementation Configuration Timing simulation On chip testing Post-synthesis simulation Synthesized Circuit
  • 13. Lect-14.13 Implementation Implementation UCF NGD EDIF NCF Native Generic Database file Constraint Editor User Constraint File Native Constraint File Electronic Design Interchange Format Circuit netlist Timing Constraints Synthesis
  • 14. Lect-14.14 Circuit Netlist and Mapping LUT2 LUT3 LUT4 LUT5 FF1 FF2 LUT1 LUT0
  • 16. Lect-14.16 Configuration • Once a design is implemented, you must create a file that the FPGA can understand • This file is called a bit stream: a BIT file (.bit extension) • The BIT file can be downloaded directly to the FPGA, or can be converted into a PROM file which stores the programming information
  • 17. Consists of… • Real 6-input look-up table (LUT) technology • Dual LUT5 (5-input LUT) option • Distributed Memory and Shift Register Logic capability • Dedicated high-speed carry logic for arithmetic functions • Wide multiplexers for efficient utilization 7 series configurable logic block (CLB) ➢ CLBs are the main logic resources for implementing sequential as well as combinatorial circuits ➢ Each CLB element is connected to a switch matrix for access to the general routing matrix
  • 18. Arrangement of Slices within the CLB
  • 20. Row and Column Relationship between CLBs and Slices